【正文】
hitecture SEC of SECOND isbeginprocess(cp,clr)variable t1,t0:std_logic_vector(3 downto 0)。 co:out std_logic)。entity SECOND isport(cp,clr:in std_logic。use 。end architecture art。end if。139。architecture art of Dcfq isbegin process(clk)isbeginif(clk39。q:out std_logic)。use 。 end architecture art。 end if。 else cqi=cqi+1。139。 process (clk) is beginif clk39。else 39。 when cqi=250 and clk=39。beginco=39。end entity。entity t250 is port( clk :in std_logic。(5)library ieee。 end process。 end if。then if cqi=50e3 then cqi=1。event and clk=39。039。039。139。Architecture art of t50e issignal cqi : integer range 1 to 50e3。 co:out std_logic)。use 。 end architecture art。 end if。 else cqi=cqi+1。139。 process (clk) is beginif clk39。else 39。 when cqi=200 and clk=39。beginco=39。end entity。entity t200 is port( clk :in std_logic。(3)library ieee。 end process。 end if。then if cqi=5 then cqi=1。event and clk=39。039。039。139。Architecture art of t5 issignal cqi : integer range 1 to 5。 co:out std_logic)。use 。 end architecture art。 end if。 else cqi=cqi+1。139。 process (clk) is beginif clk39。else 39。 when cqi=25000 and clk=39。beginco=39。end entity。entity fenpin25k is port( clk :in std_logic。必將成為我人生旅途上一個(gè)非常美好的回憶!參考文獻(xiàn)[1] 劉皖,何道君,[M].北京:清華大學(xué)出版社,:1216[2] [M].北京:中國(guó)電力出版社,2003:212218[3] , HDL硬件描述語(yǔ)言[M].北京:機(jī)械工業(yè)出版社,2000:3642[4] 侯伯亨,[M].西安:西安電子科技大學(xué)出版社,2001:1216[5] [M].北京:電子工業(yè)出版社,2002:6773[6] 李國(guó)洪,[M].北京:機(jī)械工業(yè)出版社,2000:5657[7] [M].北京:機(jī)械工業(yè)出版社,2003:2325[8] [J].科技創(chuàng)新導(dǎo)報(bào),2008,(2):8283[9] 邢遠(yuǎn)秀,[J].中國(guó)科技信息,2008,(1):2022[10] 王開(kāi)軍,[M].北京:機(jī)械工業(yè)出版社,2006:2865[11] 劉君,常明,(VHDL)的數(shù)字時(shí)鐘設(shè)計(jì)[J].天津理工大學(xué)學(xué)報(bào),2007,23(4):4041[12] 譚會(huì)生,[M].西安:西安電子科技大學(xué)出版社,2002:8992 [13] [M].北京:電子工業(yè)出版社,1996:7276附 錄一、程序清單(1)library ieee。挫折是一份財(cái)富,經(jīng)歷是一份擁有。我會(huì)用百折不撓的決心,去越過(guò)每一道溝溝坎坎。考驗(yàn)了我的耐心和直面挫折的精神。從開(kāi)始時(shí)的激情高漲到最后汗水背后的復(fù)雜心情,點(diǎn)點(diǎn)滴滴無(wú)不令我回味無(wú)長(zhǎng)。 第四章 小結(jié)與體會(huì)經(jīng)過(guò)課外學(xué)分的設(shè)計(jì),過(guò)程曲折可謂一語(yǔ)難盡。本設(shè)計(jì)中仍存在一定不足,用來(lái)控制學(xué)校打鈴器的按鍵為八個(gè),數(shù)量較多,在實(shí)際應(yīng)用中會(huì)帶來(lái)不便,以后可以考慮進(jìn)一步優(yōu)化,如,可通過(guò)加入位選控制按鍵來(lái)實(shí)現(xiàn)節(jié)省按鍵資源,一鍵多用,便可以減少按鍵,實(shí)現(xiàn)同樣的控制功能。在檢測(cè)按鍵時(shí),由于有些按鍵控制是秒時(shí)鐘同步的,所以控制起來(lái)顯得稍微慢些,但是工作正常,能滿足實(shí)際的需要。當(dāng)秒時(shí)鐘計(jì)數(shù)到59時(shí)變0時(shí),分計(jì)數(shù)模塊滯后計(jì)數(shù),考慮的器件的延時(shí),將程序中秒的進(jìn)位信號(hào)提前1秒??偟膩?lái)說(shuō),通過(guò)這次的設(shè)計(jì)實(shí)驗(yàn)更進(jìn)一步地增強(qiáng)了實(shí)驗(yàn)的動(dòng)手能力,對(duì)打鈴器的工作原理也有了更加透徹的理解。 測(cè)試說(shuō)明,最終結(jié)果與預(yù)期效果基本一致,時(shí)、分、秒能夠正常計(jì)數(shù)并可調(diào)節(jié)時(shí)間,學(xué)校上下課時(shí)間打鈴功能正常,并且可以通過(guò)按鍵調(diào)整作息時(shí)間以及報(bào)警時(shí)長(zhǎng)。 第三章 實(shí)驗(yàn)結(jié)果分析將設(shè)計(jì)程序下載到實(shí)驗(yàn)箱上進(jìn)行實(shí)際測(cè)試,以下為實(shí)際測(cè)試過(guò)程:當(dāng)前狀態(tài)為正常計(jì)時(shí)狀態(tài),將復(fù)位按鍵設(shè)為高電平,計(jì)時(shí)開(kāi)始,時(shí)鐘、鬧鐘顯示切換按鍵為高電平時(shí)顯示時(shí)鐘時(shí)間,可通過(guò)時(shí)鐘調(diào)時(shí)、調(diào)分鍵對(duì)時(shí)鐘時(shí)間進(jìn)行調(diào)整,數(shù)碼管顯示從左到右依次為:報(bào)警時(shí)長(zhǎng)十位、個(gè)位,時(shí)鐘時(shí)間時(shí)高位、時(shí)低位,分高位、分低位,秒高位、秒低位,顯示時(shí)間為十二點(diǎn)十九分十八秒,報(bào)警時(shí)長(zhǎng)為十五秒。本設(shè)計(jì)由8個(gè)獨(dú)立按鍵組成,包括兩個(gè)撥碼開(kāi)關(guān),六個(gè)機(jī)械式開(kāi)關(guān)。圖231 動(dòng)態(tài)掃描模塊波形仿真圖本設(shè)計(jì)需要對(duì)計(jì)時(shí)時(shí)間和鬧鐘時(shí)間進(jìn)行調(diào)整,調(diào)整的過(guò)程需要用到按鍵電路,用到兩種按鍵,一種是機(jī)械式開(kāi)關(guān),另外一種是撥碼開(kāi)關(guān)。圖230 動(dòng)態(tài)掃描模塊符號(hào)圖如圖231所示為動(dòng)態(tài)掃描模塊波形仿真圖。由分頻模塊提供,數(shù)碼管顯示時(shí)、分和秒,以及報(bào)警時(shí)間。圖229 數(shù)碼管顯示連接圖如圖230所示為動(dòng)態(tài)掃描模塊符號(hào)圖。只要保證每一位顯示的時(shí)間間隔不要太大,利用人眼的視覺(jué)暫留的現(xiàn)象,就可以造成各位數(shù)據(jù)同時(shí)顯示的假象[13]。所謂動(dòng)態(tài)掃描顯示方式是在顯示某一位LED顯示塊的數(shù)據(jù)的時(shí)候,讓其它位不顯示,然后在顯示下一位的數(shù)據(jù),同時(shí)關(guān)閉其他顯示塊。圖228 七段數(shù)碼管結(jié)構(gòu)如圖229所示為數(shù)碼管顯示連接圖。控制相應(yīng)的二極管導(dǎo)通,就能顯示出各種字符,盡管顯示的字符形狀有些失真,能顯示的數(shù)符數(shù)量也有限,但其控制簡(jiǎn)單,使有也方便。7段數(shù)碼管一般由8個(gè)發(fā)光二極管組成,其中由7個(gè)細(xì)長(zhǎng)的發(fā)光二極管組成數(shù)字顯示,另外一個(gè)圓形的發(fā)光二極管顯示小數(shù)點(diǎn)。若顯示器的位數(shù)不大于8位,則控制顯示器公共極電位只需一個(gè)8位I/O口(稱(chēng)為掃描口或字位口),控制各位LED顯示器所顯示的字形也需要一個(gè)8位口(稱(chēng)為數(shù)據(jù)口或字形口)。雖然在同一時(shí)刻只有一位顯示器在工作(點(diǎn)亮),但利用人眼的視覺(jué)暫留效應(yīng)和發(fā)光二極管熄滅時(shí)的余輝效應(yīng),看到的卻是多個(gè)字符“同時(shí)”顯示。由此可知,本模塊滿足設(shè)計(jì)要求。K2為切換按鍵輸入,用于切換時(shí)間輸出;QSAI[3..0]為時(shí)鐘時(shí)間秒低位,QSBI[3..0]為時(shí)鐘時(shí)間秒高位,QMAI[3..0]為時(shí)鐘時(shí)間分低位,QMBI[3..0]為時(shí)鐘時(shí)間分高位,QHAI[3..0]為時(shí)鐘時(shí)間時(shí)低位,QHBI[3..0]為時(shí)鐘時(shí)間時(shí)高位;QH_ARM_A[3..0]為定時(shí)時(shí)間時(shí)低位,QH_ARM_B[3..0]為定時(shí)時(shí)間時(shí)高位,QM_ARM_A[3..0]為定時(shí)時(shí)間分低位,QM_ARM_B[3..0]為定時(shí)時(shí)間分高位;Q_HAO[3..0]為時(shí)間切換模塊的時(shí)低位,Q_HBO[3..0]為時(shí)間切換模塊的時(shí)高位,Q_MAO[3..0]為時(shí)間切換模塊的分低位,Q_MBO[3..0]為時(shí)間切換模塊的分高位,Q_SAO[3..0]為時(shí)間切換模塊的秒低位,Q_SBO[3..0]為時(shí)間切換模塊的秒高位。設(shè)計(jì)思路為:通過(guò)K2來(lái)進(jìn)行時(shí)間切換,當(dāng)K2為高電平時(shí),輸出正常計(jì)時(shí)時(shí)間;當(dāng)K2為低電平時(shí),輸出定時(shí)時(shí)間。 圖225蜂鳴器發(fā)聲模塊對(duì)于本學(xué)校打鈴器的設(shè)計(jì),必不可少的就是顯示模塊的設(shè)計(jì),因?yàn)楦鶕?jù)設(shè)計(jì)要求,時(shí)鐘的計(jì)時(shí)顯示、鬧鐘的時(shí)間設(shè)定、蜂鳴器報(bào)警時(shí)長(zhǎng)的設(shè)定,都需要數(shù)碼管來(lái)顯示,實(shí)際應(yīng)用的時(shí)候,數(shù)碼管的顯示是最直觀的表現(xiàn)。給clk接入一定脈沖,speaktime為一秒,當(dāng)Q_Y為高電平時(shí),伴隨時(shí)鐘脈沖下一個(gè)周期的到來(lái),q_20s由低電平變?yōu)楦唠娖?,高電平持續(xù)時(shí)間與時(shí)鐘脈沖的一個(gè)周期相等,實(shí)際測(cè)試時(shí),時(shí)鐘脈沖為1Hz的秒信號(hào),所以報(bào)警時(shí)長(zhǎng)為1s;當(dāng)設(shè)定speaktime為15秒時(shí),q_20s伴隨時(shí)鐘脈沖下一個(gè)周期的到來(lái),由低電平變?yōu)楦唠娖剑掷m(xù)時(shí)間與時(shí)鐘脈沖的15個(gè)周期相等,可實(shí)現(xiàn)15s的報(bào)警時(shí)長(zhǎng)。QY接比較模塊的輸出,相當(dāng)于蜂鳴器發(fā)生模塊的使能信號(hào);CLK接1Hz的時(shí)鐘模塊,報(bào)警可實(shí)現(xiàn)每一秒響一下;speaktime[3..0]接報(bào)警時(shí)長(zhǎng)設(shè)定模塊的輸出,為報(bào)警持續(xù)的時(shí)間長(zhǎng)度,范圍在一秒至十五秒以?xún)?nèi);q_20s為蜂鳴器發(fā)聲模塊的輸出,接蜂鳴器的負(fù)極。圖223 報(bào)警時(shí)長(zhǎng)設(shè)定模塊波形仿真圖本設(shè)計(jì)需用兩個(gè)蜂鳴器,一個(gè)蜂鳴器用于學(xué)校作息時(shí)間報(bào)時(shí),另外一個(gè)蜂鳴器用于鬧鐘報(bào)警。圖222 報(bào)警時(shí)長(zhǎng)設(shè)定模塊符號(hào)圖如圖223所示為報(bào)警時(shí)長(zhǎng)設(shè)定模塊波形仿真圖。如圖222所示分別為報(bào)警時(shí)長(zhǎng)設(shè)定模塊符號(hào)圖和RTL圖。由此可知打鈴模塊滿足設(shè)計(jì)要求。圖221打鈴模塊波形仿真圖如圖221所示為打鈴模塊波形仿真圖。圖220打鈴模塊符號(hào)圖如圖220所示為打鈴模塊符號(hào)圖。圖218 比較模塊波形仿真圖圖219打鈴模塊設(shè)計(jì)框圖 如圖219所示為打鈴模塊設(shè)計(jì)框圖。圖217 比較模塊符號(hào)圖如圖218所示為比較模塊波形仿真圖。圖216 比較模塊設(shè)計(jì)框圖如圖217所示為比較模塊符號(hào)圖。圖215 定時(shí)模塊波形仿真圖如圖216所示為比較模塊設(shè)計(jì)框圖。圖214 定時(shí)模塊符號(hào)圖如圖215所示為定時(shí)模塊波形仿真圖。如圖214所示分別為定時(shí)模塊符號(hào)圖。本模塊主要由定時(shí)模塊、比較模塊組成,另外還有正常計(jì)時(shí)時(shí)間和定時(shí)時(shí)間輸出選擇切換模塊,連接基本數(shù)字鐘模塊的時(shí)、分、秒輸出,以及定時(shí)時(shí)間的時(shí)、分輸出,另一端連接動(dòng)態(tài)顯示模塊,通過(guò)外部按鍵來(lái)選擇基本時(shí)鐘或者是鬧鐘時(shí)間設(shè)定的顯示。由此可知,本模塊滿足設(shè)計(jì)要求。圖212調(diào)時(shí)模塊波形仿真圖 如圖212所示為調(diào)時(shí)模塊波形仿真圖。本設(shè)計(jì)的調(diào)時(shí)模塊類(lèi)似于二選一數(shù)據(jù)選擇器,輸入端口key是調(diào)時(shí)模塊的調(diào)時(shí)開(kāi)關(guān),當(dāng)為高電平是輸出a的數(shù)據(jù),當(dāng)為低電平時(shí)輸出b的數(shù)據(jù);a端接上一個(gè)計(jì)時(shí)模塊的進(jìn)位輸出;b端接分頻器的輸出時(shí)鐘脈沖;c為模塊的輸出,作為計(jì)時(shí)模塊的輸入時(shí)鐘。clk接分計(jì)時(shí)模塊的僅為輸出,給定時(shí)鐘信號(hào),clr高