freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

基于fpga的自動打鈴器設計畢業(yè)設計-預覽頁

2025-07-14 12:31 上一頁面

下一頁面
 

【正文】 之后再讀行線狀態(tài),如果仍有低電平行線,則確定有按鍵按下,然后產生一個有按鍵按下的信號。由于時鐘脈沖為1kHZ,故從有按鍵按下到輸入信號產生大概需要1ms。如圖29所示為秒計數(shù)模塊符號圖。圖26 秒計數(shù)模塊波形仿真圖如圖27所示為分計數(shù)模塊符號圖。圖28 分計數(shù)模塊波形仿真圖圖29 時計數(shù)模塊符號圖如圖29所示為時計數(shù)模塊符號圖。圖211調時模塊符號圖如圖211所示為調時模塊符號圖。當key為低電平時,調時模塊輸出b的脈沖;當key為高電平時,輸出a的脈沖。定時控制模塊有復位鍵、調時調分切換鍵、累加鍵,來設定鬧鐘時間。當復位鍵為高電平、k1為低電平時,每按下一次up_key鬧鐘分低位就計一個數(shù),計到9時向高位進一,當計到59時重新從0開始計數(shù);當復位鍵為高電平、k1為高電平時,開始對時計數(shù),up_key每來一個脈沖時低位就計一個數(shù),計到9時變?yōu)?,高位進位,計到23時重新計數(shù),由波形仿真克制此模塊滿足設計要求。Clk0為比較模塊的時鐘,接200分頻器輸出的1Hz時鐘信號;QH_B[3..0]為時鐘的時高位,QH_A[3..0]為時鐘的時低位,QMB[3..0]為時鐘的分高位,QM_A[3..0]為時鐘的分低位;HARM_B[3..0]為鬧鐘時間的時高位,HARM_A[3..0]為鬧鐘時間的時低位,MARM_B[3..0]為鬧鐘時間的分高位,MARM_A[3..0]為鬧鐘時間的分低位;SPEAK為比較模塊的輸出,接報警時長設定模塊的輸入。模塊包括作息選擇和時間比較部分,其設計思路為:通過k3進行春夏作息時間選擇,將時鐘的時高位、時低位、分高位、分低位分別于打鈴時間數(shù)據進行比較,若相等,則Q_Y輸出高電平,否則輸出低電平??梢钥闯觯寒擪3為高電平時,選擇春季作息時間,當時鐘時間由八點二十九分跳變?yōu)榘它c三十分時,Q_Y由低電平變?yōu)楦唠娖剑藶榇杭咀飨r間上午的的八點三十分;當K3為低電平時,選擇夏季作息時間,當時鐘時間由八點二十九分跳變?yōu)榘它c三十分時,Q_Y由低電平變?yōu)楦唠娖?,此為夏季作息時間上午的八點三十分;當K3為高電平時,選擇春季作息時間,當時鐘時間由十三點二十九分跳變?yōu)槭c三十分時,Q_Y由低電平變?yōu)楦唠娖剑藶榇杭咀飨r間下午的十三點三十分;當K3為低電平時,選擇夏季作息時間,當時鐘時間由十三點五十九分跳變?yōu)槭狞c整時,Q_Y由低電平變?yōu)楦唠娖?,此為夏季作息時間下午的十四點整。其中Reset為復位端,低電平有效;up_key為調節(jié)報警時間的按鍵,時長可從一秒調至十五秒,連接按鍵模塊;speaktime[3..0]為報警時長的輸出,連接蜂鳴器發(fā)生模塊的輸入端。如圖224所示為蜂鳴器發(fā)聲模塊符號圖和RTL圖。由此可知,蜂鳴器發(fā)生模塊滿足設計要求,可實現(xiàn)115秒自由調節(jié)。圖226 時間切換模塊設計框圖 圖227 時間切換模塊符號圖如圖227所示為時間切換模塊符號圖。所謂動態(tài)顯示就是一位一位地輪流點亮各位顯示器(掃描),對于顯示器的每一位而言,每隔一段時間點亮一次。調整電流和時間參烽,可實現(xiàn)亮度較高較穩(wěn)定的顯示[11]。發(fā)光二極管的陽極連在一起的稱為共陽極數(shù)碼管,陰極連在一起的稱為共陰極數(shù)碼管,本設計使用共陰數(shù)碼管,如圖228所示為七段共陰數(shù)碼管結構圖。這樣做可以使每一個顯示塊顯示與自己相對應的數(shù)據。該模塊的輸入端口clk是頻率為5kHZ的掃描時鐘,需要掃描8個數(shù)碼管。給定一定時鐘脈沖,設定時鐘時間為21點01分25秒,隨著脈沖上升沿的到來,數(shù)碼管的片選信號逐一變?yōu)榈碗娖?,分別輸出時鐘時間或定時時間,以及報警時長,有波形仿真圖可知此模塊滿足設計要求。其中2個撥碼開關分別用于調節(jié)報警時長以及鬧鐘定時時間的調節(jié);另外6個機械式開關分別用于復位,數(shù)碼管顯示切換,鬧鐘定時時、分切換,作息時間切換,時鐘時間時、分調節(jié)。 自動打鈴器的設計重點在于按鍵的控制和各個模塊代碼的編寫,雖然能把鍵盤接口和各個模塊的代碼編寫出來,并能正常顯示,但對于各個模塊的優(yōu)化設計還有一定的缺陷和不足。在對學校打零時間設置及更改的問題上,一開始想通過ROM實現(xiàn),但思考之后還是采用了通過程序實現(xiàn)的方法,因為ROM只能讀不能寫。另外,在本設計的基礎上還可以進行一系列的創(chuàng)新,比如增加音樂報警的功能,取代稍有刺耳的蜂鳴聲,會使用戶在實際應用中多一份樂趣,還可以加入遙控功能、語音識別等等,相信隨著電子技術的發(fā)展,打鈴器的功能會更加多樣化,滿足人們的各種需要,為人們以后的工作和生活提供更多的方便。 通過這次課外學分設計,加強了我的動手、思考和解決問題的能力。對我而言,知識上的收獲重要,精神上的豐收更加可喜。use 。Architecture art of fenpin25k issignal cqi : integer range 1 to 25000。039。event and clk=39。 end if。(2)library ieee。end entity。 when cqi=5 and clk=39。 process (clk) is beginif clk39。 else cqi=cqi+1。 end architecture art。 co:out std_logic)。139。039。then if cqi=200 then cqi=1。 end process。entity t50e is port( clk :in std_logic。beginco=39。else 39。139。 end if。use 。Architecture art of t250 issignal cqi : integer range 1 to 039。event and clk=39。 end if。library ieee。end entity Dcfq。) thenq=d。(1)秒計數(shù)模塊library ieee。 sqmsl,sqmsh:out std_logic_vector(3 downto 0)。beginif clr=39。elsif cp39。139。if t10101 thent1:=t1+1。end if。sqmsl=t0。use 。 min1,min0:out std_logic_vector(3 downto 0) )。event and clk=39。 thenif t1=0101 and t0=1000 thenco=39。elset0:=0000。039。end if。end MIN。entity sh24 isport(clk:in std_logic。end sh24。sl=0000。) thenif(sh=0010and sl=0011)thensh=0000。else sl=0000。end if。end bhv。use 。end mux2to1。 else c=a。(1)定時模塊LIBRARY ieee?!皶r”高位 Q_houra : OUT INTEGER RANGE 0 TO 9。ARCHITECTURE a OF ctrl_memo IS BEGIN PROCESS(reset,k1,up_key) VARIABLE Q_tmpma: INTEGER RANGE 0 TO 9。BEGIN IF reset=39。Q_tmpha:= 0。139。 Q_tmpha:=0 。 END IF。 Q_tmpmb:=Q_tmpmb+1。 END IF 。 Q_minuea=Q_tmpma。 USE 。QM_B:IN INTEGER RANGE 0 TO 9。SPEAK: OUT STD_LOGIC)。 Y=39。END a。 Q_HA,Q_MA: IN STD_LOGIC_VECTOR(3 DOWNTO 0)。END COMP_TIME。 Q_MB amp。 THEN CASE QTIME IS WHEN 0000100000110000 = Q_Y=39。 WHEN 0000100100010101 = Q_Y=39。 WHEN 0000100100100101 = Q_Y=39。 WHEN 0001000000010000 = Q_Y=39。 WHEN 0001000000100000 = Q_Y=39。 WHEN 0001000100000101 = Q_Y=39。 WHEN 0001000100010101 = Q_Y=39。 WHEN 0001001000000000 = Q_Y=39。 WHEN 0001001100110000 = Q_Y=39。 WHEN 0001010000010101 = Q_Y=39。 WHEN 0001010000100101 = Q_Y=39。 WHEN 0001010100010000 = Q_Y=39。 END CASE。139。139。139。139。139。139。139。139。139。139。139。039。 END a。 k1高電平為調時,低電平為調分 speaktime: out INTEGER RANGE 0 TO 15)。039。139。 END IF 。(2)蜂鳴器發(fā)聲模塊LIBRARY ieee。 q_20s: out STD_LOGIC)。event AND CLK=39。 THEN IF tmp=speaktime THEN tmp:=tmp+1。Q_20S=39。Q_20S=39。 END PROCESS 。ENTITY NOR_ARM_CTL ISPORT( k2: IN STD_LOGIC。QH_ARM_A,QM_ARM_A: IN INTEGER RANGE 0 TO 9。Q_HBO: OUT INTEGER RANGE 0 TO 9。139。 ELSE QH_ARM_B。 Q_MBO=QMBI WHEN K2=39。139。 ELSE 0。use 。 fl:in std_logic_vector(3 downto 0)。 selout:out std_logic_vector(7 downto 0)。signal seg:std_logic_vector(7 downto 0)。event and clk=39。 end if。sel=10111111。sel=11101111。sel=11111011。sel=11111110。 when0001=seg=00000110。 when0101=seg=01101101。 when1001=seg=01101111。 end process。35二、學校打鈴器頂層原理圖自動打鈴器頂層原理圖
點擊復制文檔內容
規(guī)章制度相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1