freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的自動(dòng)打鈴器設(shè)計(jì)畢業(yè)設(shè)計(jì)(留存版)

  

【正文】 平時(shí)對(duì)時(shí)進(jìn)行調(diào)節(jié),低電平時(shí)對(duì)分進(jìn)行調(diào)節(jié);up_key是調(diào)整鬧鐘時(shí)間的累加按鍵,另一端接按鍵消抖模塊,每按一次計(jì)數(shù)加一;Q_tmpma、Q_tmpmb、Q_tmpha、Q_tmphb分別為鬧鐘時(shí)間的分低位、分高位、時(shí)低位時(shí)高位。報(bào)警模塊主要包括報(bào)警時(shí)長(zhǎng)設(shè)定模塊和蜂鳴器發(fā)生模塊,實(shí)現(xiàn)學(xué)校作息時(shí)間報(bào)時(shí)和鬧鐘報(bào)警的功能。 設(shè)定時(shí)鐘時(shí)間為21點(diǎn)34分52秒,定時(shí)時(shí)間為12點(diǎn)整;當(dāng)K2為高電平時(shí),模塊輸出為時(shí)鐘時(shí)間21點(diǎn)34分52秒;當(dāng)K2為低電平時(shí),模塊輸出為定時(shí)時(shí)間十二點(diǎn)整。一般每一位的顯示時(shí)間為1~10ms。在本設(shè)計(jì)調(diào)試過(guò)程中遇到了一些難點(diǎn)問(wèn)題,經(jīng)過(guò)努力加以解決:當(dāng)程序下載到實(shí)驗(yàn)箱上后,數(shù)碼管顯示全部為零,計(jì)數(shù)器不工作,經(jīng)分析得知程序中的總的清零信號(hào)保持有效狀態(tài),改動(dòng)程序后計(jì)數(shù)器開(kāi)始計(jì)數(shù)。這次經(jīng)歷讓我受益匪淺。then if cqi=25000 then cqi=1。else 39。Architecture art of t200 issignal cqi : integer range 1 to 200。(4)library ieee。 else cqi=cqi+1。039。event and clk=39。 thent1:=0000。end if。 thenif clr=39。min0=t0。event and clk=39。use 。ENTITY ctrl_memo ISPORT( reset,k1,up_key: IN STD_LOGIC。 ELSIF up_key39。 END IF。HARM_B: IN INTEGER RANGE 0 TO 9。 Q_MB: IN STD_LOGIC_VECTOR(3 DOWNTO 0)。WHEN 0001011000000101 = Q_Y=39。WHEN 0001100100010101 = Q_Y=39。WHEN 0010000100000000 = Q_Y=39。139。139。139。 USE 。 END PROCESS 。139。QSBI,QMBI:IN INTEGER RANGE 0 TO 9。139。 speaktimeh,speaktimel,sl:in std_logic_vector(3 downto 0)。 ) then if temp=7 then temp=000。sel=11111101。 end case。 when0111=seg=00000111。sel=11110111。 begin process(clk) variable num:std_logic_vector(3 downto 0)。(2)動(dòng)態(tài)掃描模塊library ieee。 Q_HBO=QHBI WHEN K2=39。(1)時(shí)間切換模塊LIBRARY ieee。 THEN IF QY=39。 ELSe Q_tmpma:=0。 END IF。139。139。139。WHEN 0010000000010101 = Q_Y=39。WHEN 0001100000110000 = Q_Y=39。WHEN 0001010100100000 = Q_Y=39。 USE 。 QH_A,QM_A:IN INTEGER RANGE 0 TO 9。 Q_tmpma:=0 。 THEN Q_tmpma:= 0。 end process。end if。039。end if。architecture MIN of MINUTE isbeginprocess(clk,clr)variable t1,t0:std_logic_vector(3 downto 0)。co=39。end SECOND。entity Dcfq isport(d,clk:in std_logic。139。 process (clk) is beginif clk39。 end if。use 。beginco=39。039。我深知以后要走的路將會(huì)更長(zhǎng)更曲折,不過(guò)不要緊,我有信心和毅力走下去,摔倒了再爬起來(lái),沒(méi)有什么,因?yàn)槲覀兡贻p,我們有激情和熱血。為鬧鐘設(shè)定時(shí)間的顯示,通過(guò)時(shí)鐘、鬧鐘顯示切換按鍵來(lái)進(jìn)行切換,當(dāng)為低電平時(shí)顯示鬧鐘時(shí)間,可通過(guò)定時(shí)調(diào)時(shí)調(diào)分切換按鍵來(lái)選擇調(diào)整時(shí)或分,按下鬧鐘時(shí)間調(diào)節(jié)的撥碼開(kāi)關(guān)進(jìn)行鬧鐘時(shí)間設(shè)定,圖中數(shù)碼管顯示從左到右依次為:報(bào)警時(shí)長(zhǎng)高位、低位,定時(shí)時(shí)間時(shí)高位、時(shí)低位,分高位、分地位,秒高位、秒低位,當(dāng)前顯示鬧鐘設(shè)定時(shí)間為十二點(diǎn)十三分,報(bào)警時(shí)長(zhǎng)為五秒,當(dāng)時(shí)鐘時(shí)間為十二點(diǎn)十三分時(shí),蜂鳴器報(bào)警,時(shí)長(zhǎng)為五秒。動(dòng)態(tài)掃描電路將計(jì)數(shù)器輸出的8421BCD碼轉(zhuǎn)換為數(shù)碼管需要的邏輯狀態(tài),并且輸出數(shù)碼管的片選信號(hào)和位選信號(hào)[12]。如圖226所示為時(shí)間切換模塊設(shè)計(jì)框圖。K3為切換春夏作息時(shí)間的按鍵,當(dāng)K3為高電平時(shí)選擇春季作息時(shí)間,方為低電平時(shí)選擇夏季作息時(shí)間;Q_HB[3..0]接時(shí)鐘時(shí)間的時(shí)高位,Q_HA[3..0]接時(shí)鐘時(shí)間的時(shí)低位,Q_MB[3..0]接時(shí)鐘時(shí)間的分高位,Q_MA[3..0]接時(shí)鐘時(shí)間的時(shí)低位;Q_Y為打鈴模塊的輸出,接報(bào)警模塊的輸入。圖213 鬧鐘模塊總體設(shè)計(jì)框圖如圖213所示為鬧鐘模塊總體設(shè)計(jì)框圖。圖29 秒計(jì)數(shù)模塊符號(hào)圖如圖26所示波形仿真圖。分頻模塊由25k分頻、200分頻、5分頻、50k分頻、250分頻組成。比如,打鈴器結(jié)構(gòu)組成中最基本的是數(shù)字鐘。20世紀(jì)70年代,早期的可編程邏輯器件只有可編程只讀存儲(chǔ)器(PROM)、紫外線可擦除只讀存儲(chǔ)器(EPROM)和電可擦除只讀存儲(chǔ)器(EEPROM)3種。數(shù)字化的時(shí)鐘給人們帶來(lái)了極大的方便。關(guān)鍵詞:打鈴器 現(xiàn)場(chǎng)可編程門陣列 硬件描述語(yǔ)言 第一章 緒論當(dāng)今社會(huì),電子技術(shù)的應(yīng)用無(wú)處不在,電子技術(shù)正在不斷地改變我們的生活,改變著我們的世界。因此說(shuō),了解了可編程邏輯器件的發(fā)展歷程,也就了解了FPGA的發(fā)展歷程。最后可以用得到的編程文件通過(guò)編程電纜配置PLD,進(jìn)行在線測(cè)試。譯碼顯示電路由七段譯碼器完成,顯示由數(shù)碼管構(gòu)成。圖25消抖模塊波形仿真時(shí)鐘模塊是學(xué)校打鈴器最基本的模塊,主要實(shí)現(xiàn)基本計(jì)時(shí)、調(diào)時(shí)、調(diào)分功能,包括秒計(jì)數(shù)模塊、分計(jì)數(shù)模塊、時(shí)計(jì)數(shù)模塊和調(diào)時(shí)模塊。圖212調(diào)時(shí)模塊波形仿真圖 如圖212所示為調(diào)時(shí)模塊波形仿真圖。圖218 比較模塊波形仿真圖圖219打鈴模塊設(shè)計(jì)框圖 如圖219所示為打鈴模塊設(shè)計(jì)框圖。給clk接入一定脈沖,speaktime為一秒,當(dāng)Q_Y為高電平時(shí),伴隨時(shí)鐘脈沖下一個(gè)周期的到來(lái),q_20s由低電平變?yōu)楦唠娖?,高電平持續(xù)時(shí)間與時(shí)鐘脈沖的一個(gè)周期相等,實(shí)際測(cè)試時(shí),時(shí)鐘脈沖為1Hz的秒信號(hào),所以報(bào)警時(shí)長(zhǎng)為1s;當(dāng)設(shè)定speaktime為15秒時(shí),q_20s伴隨時(shí)鐘脈沖下一個(gè)周期的到來(lái),由低電平變?yōu)楦唠娖?,持續(xù)時(shí)間與時(shí)鐘脈沖的15個(gè)周期相等,可實(shí)現(xiàn)15s的報(bào)警時(shí)長(zhǎng)。控制相應(yīng)的二極管導(dǎo)通,就能顯示出各種字符,盡管顯示的字符形狀有些失真,能顯示的數(shù)符數(shù)量也有限,但其控制簡(jiǎn)單,使有也方便。本設(shè)計(jì)由8個(gè)獨(dú)立按鍵組成,包括兩個(gè)撥碼開(kāi)關(guān),六個(gè)機(jī)械式開(kāi)關(guān)。從開(kāi)始時(shí)的激情高漲到最后汗水背后的復(fù)雜心情,點(diǎn)點(diǎn)滴滴無(wú)不令我回味無(wú)長(zhǎng)。 when cqi=25000 and clk=39。 co:out std_logic)。 end process。139。039。end entity。 end architecture art。entity SECOND isport(cp,clr:in std_logic。elset0:=0000。 co:out std_logic。co=39。sl:buffer std_logic_vector(3 downto 0))。end if。then c=b。 VARIABLE Q_tmphb: INTEGER RANGE 0 TO 9。 ELSE Q_tmpha:=Q_tmpha+1。(2)比較模塊LIBRARY ieee。039。139。139。139。039。WHEN 0001011100110000 = Q_Y=39。WHEN 0010000000000101 = Q_Y=39。 WHEN OTHERS= Q_Y=39。event AND up_key=39。 BEGIN IF CLK39。 END IF 。ARCHITECTURE a OF NOR_ARM_CTL IS BEGIN Q_HAO=QHAI WHEN K2=39。139。architecture a of dongtaism2 issignal temp:std_logic_vector(2 downto 0)。when 100 =num:=sl(3 downto 0)。 when0100=seg=01100110。 selout=sel。when others=sel=11111111。 case temp iswhen 111 =num:=speaktimeh(3 downto 0)。 fh:in std_logic_vector(3 downto 0)。139。QH_ARM_B: IN INTEGER RANGE 0 TO 9。039。 USE 。END ctrl_s。 WHEN 0001010001010101 = Q_Y=39。 WHEN 0001000100010101 = Q_Y=39。 WHEN 0000100100100101 = Q_Y=39。139。139。139。ARCHITECTURE a OF COMP_TIME IS SIGNAL QTIME:STD_LOGIC_VECTOR(15 DOWNTO 0)。END BIJIAOQI。 Q_hourb=Q_tmphb。 THEN IF k1=39。“時(shí)”低位 Q_minueb:OUT INTEGER RANGE 0 TO 9。entity mux2to1 isport( key: in std_logic。sl=0000。(3)時(shí)計(jì)數(shù)模塊library ieee。139。end process。event and cp=39。end if。139。 end process。 co:out std_logic)。 when cqi=200 and clk=39。event and clk=39。 end if。entity fenpin25k is port( clk :in std_logic。在檢測(cè)按鍵時(shí),由于有些按鍵控制是秒時(shí)鐘同步的,所以控制起來(lái)顯得稍微慢些,但是工作正常,能滿足實(shí)際的需要。由分頻
點(diǎn)擊復(fù)制文檔內(nèi)容
規(guī)章制度相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1