【正文】
end architecture。 selout=sel。 end if。 when others=seg=00000000。 when1000=seg=01111111。when0110=seg=01111101。 when0100=seg=01100110。 when0010=seg=01011011。case num is when0000=seg=00111111。when others=sel=11111111。when 000 =num:=ml(3 downto 0)。when 001 =num:=mh(3 downto 0)。when 010 =num:=fl(3 downto 0)。when 011 =num:=fh(3 downto 0)。when 100 =num:=sl(3 downto 0)。when 101 =num:=sh(3 downto 0)。when 110 =num:=speaktimel(3 downto 0)。 case temp iswhen 111 =num:=speaktimeh(3 downto 0)。 else temp=temp+1。139。 begin if (clk39。signal sel:std_logic_vector(7 downto 0)。architecture a of dongtaism2 issignal temp:std_logic_vector(2 downto 0)。 segout:out std_logic_vector(7 downto 0))。 mh:in std_logic_vector(3 downto 0)。 fh:in std_logic_vector(3 downto 0)。 sh:in std_logic_vector(3 downto 0)。entity dongtaism2 is port(clk:in std_logic。use 。END a。139。 ELSE 0。 Q_SAO=QSAI WHEN K2=39。139。 ELSE QM_ARM_A。 Q_MAO=QMAI WHEN K2=39。139。 ELSE QH_ARM_A。ARCHITECTURE a OF NOR_ARM_CTL IS BEGIN Q_HAO=QHAI WHEN K2=39。Q_MBO,Q_SBO: OUT INTEGER RANGE 0 TO 9)。Q_HAO,Q_MAO,Q_SAO:OUT INTEGER RANGE 0 TO 9。QH_ARM_B: IN INTEGER RANGE 0 TO 9。QHBI:IN INTEGER RANGE 0 TO 9。 QSAI,QMAI,QHAI:IN INTEGER RANGE 0 TO 9。 USE 。END a。 END IF 。039。 ELSE tmp:=0。039。 ELSE tmp:=tmp+1。Q_20S=39。139。139。 BEGIN IF CLK39。END s20。 speaktime : in INTEGER RANGE 0 TO 15。 USE 。END a。 speaktime=Q_tmpma。 END IF 。 THEN IF Q_tmpma15 THEN Q_tmpma:=Q_tmpma+1。event AND up_key=39。 THEN Q_tmpma:=0。BEGIN IF reset=39。END ctrl_s。ENTITY ctrl_s ISPORT( reset,up_key: IN STD_LOGIC。(1)報警時長設(shè)定模塊LIBRARY ieee。 END PROCESS。 END CASE。 WHEN OTHERS= Q_Y=39。 WHEN 0001010101000000 = Q_Y=39。WHEN 0010001000000000 = Q_Y=39。 WHEN 0001010001010101 = Q_Y=39。WHEN 0010000100000000 = Q_Y=39。 WHEN 0001010001000101 = Q_Y=39。WHEN 0010000000010101 = Q_Y=39。 WHEN 0001010000000000 = Q_Y=39。WHEN 0010000000000101 = Q_Y=39。 WHEN 0001001000000000 = Q_Y=39。WHEN 0001100100100000 = Q_Y=39。 WHEN 0001000100010101 = Q_Y=39。WHEN 0001100100010101 = Q_Y=39。 WHEN 0001000100000101 = Q_Y=39。WHEN 0001100000110000 = Q_Y=39。 WHEN 0001000000100000 = Q_Y=39。WHEN 0001011100110000 = Q_Y=39。 WHEN 0001000000010000 = Q_Y=39。WHEN 0001011001000101 = Q_Y=39。 WHEN 0000100100100101 = Q_Y=39。WHEN 0001011000110101 = Q_Y=39。 WHEN 0000100100010101 = Q_Y=39。WHEN 0001010101010000 = Q_Y=39。ELSE CASE QTIME IS WHEN 0000100000110000 = Q_Y=39。039。139。139。139。139。139。139。139。139。139。139。139。139。139。139。139。139。139。139。139。139。139。139。139。139。 Q_MA。 Q_HA amp。ARCHITECTURE a OF COMP_TIME IS SIGNAL QTIME:STD_LOGIC_VECTOR(15 DOWNTO 0)。 Q_Y: OUT STD_LOGIC)。 Q_HB: IN STD_LOGIC_VECTOR(3 DOWNTO 0)。ENTITY COMP_TIME ISPORT( K3: IN STD_LOGIC。LIBRARY ieee。039。139。 BEGIN SPEAK=CLK0 AND Y。END BIJIAOQI。MARM_B: IN INTEGER RANGE 0 TO 9。HARM_A,MARM_A: IN INTEGER RANGE 0 TO 9。QH_B:IN INTEGER RANGE 0 TO 9。ENTITY BIJIAOQI ISPORT( CLk0: IN STD_LOGIC。(2)比較模塊LIBRARY ieee。 END PROCESS 。 Q_minueb=Q_tmpmb。 Q_hourb=Q_tmphb。 END IF。 ELSE Q_tmpma:=Q_tmpma+1。 ELSIF Q_tmpma=9 THEN Q_tmpma:=0。 else IF Q_tmpmb=5 AND Q_tmpma=9 THEN Q_tmpmb:=0。 ELSE Q_tmpha:=Q_tmpha+1。 ELSIF Q_tmpha=9 THEN Q_tmpha:=0。 THEN IF Q_tmphb=2 AND Q_tmpha=3 THEN Q_tmphb:=0。 THEN IF k1=39。event AND up_key=39。 Q_tmphb:= 0。 Q_tmpmb:= 0。039。 VARIABLE Q_tmphb: INTEGER RANGE 0 TO 9。 VARIABLE Q_tmpmb: INTEGER RANGE 0 TO 9。END ctrl_memo?!皶r”低位 Q_minueb:OUT INTEGER RANGE 0 TO 9。 k1高電平為調(diào)時,低電平為調(diào)分 Q_hourb: OUT INTEGER RANGE 0 TO 9。 USE 。end one。 end if。then c=b。architecture one of mux2to1 isbegin process(key) begin if key=39。 c: out std_logic )。entity mux2to1 isport( key: in std_logic。use 。(4)調(diào)時模塊library ieee。end process。end if。end if。if(sh2)then sh=sh+1。if(sl9)then sl=sl+1。sl=0000。139。elsif(clk39。)thensh=0000。architecture bhv of sh24 isbeginprocess(clk,clr)beginif (clr=39。sl:buffer std_logic_vector(3 downto 0))。clr:in std_logic。use 。(3)時計數(shù)模塊library ieee。end process。min1=t1。end if。end if。co=39。if t10101 thent1:=t1+1。elsif t01001 thent0:=t0+1。139。139。139。beginif clk39。end MINUTE。 co:out std_logic。use 。(2)分計數(shù)模塊library ieee。end process。sqmsh=t1。end if。039。elset1:=0000。elset0:=0000。t0:=1001。 thenif t1=0101 and t0=1000 thenco=39。event and cp=39。t0:=0000。039。arc