【正文】
hen 392 to 452=A01=39。cs21=39。cs11=39。when 266 to 326=A01=39。cs21=39。cs11=39。when 140 to 200=A01=39。cs21=39。cs11=39。when 14 to 74=A01=39。cs21=39。cs11=39。 when 1|3|5|7|9|11|75|76|201|202|327|328|453|454=A01=39。cs21=39。cs11=39。 thencase conv_integer(data) iswhen 0|2|4|6|8|10|12|13|138|139|264|265|390|391=A01=39。event and clk2=39。end process。 end if。 else csflag=39。 then csflag=39。 then if clk2=39。event and clk1=39。 clk2=divt(1) and flag。data=divt(11 downto 2)。end if。 else divt = divt + 1。039。 then if divt=100000010011 then 102067。event and sysclk=39。139。)。 then divt = (others=39。beginprocess(sysclk,reset) 初始計數(shù)beginif reset=39。 系統(tǒng)計數(shù)signal data : std_logic_vector(9 downto 0)。 片選信號SED1502(2) end ctrl。 設(shè)置控制命令與數(shù)據(jù) CS1:out std_logic。 ad: out std_logic_vector(9 downto 0)。entity ctrl isPort (sysclk : in std_logic。use 。圖7前置放大電路 A/D轉(zhuǎn)換ADC0809是帶有8位A/D轉(zhuǎn)換器、8路多路開關(guān)以及微處理機