freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的數(shù)據(jù)采集器-文庫(kù)吧資料

2024-09-10 13:03本頁(yè)面
  

【正文】 SED1520 芯片的指令集。控制 LCD 的輸出,從本質(zhì)上來(lái)講就是控制 SED1520 芯片。在第 節(jié)我們已經(jīng)對(duì) LCD12232 進(jìn)行了初步的探討,線面我們將深入的對(duì) LCD12232 進(jìn)行分析。 LCD12232 顯示模塊 液晶顯示屏已廣泛應(yīng)用于人們的日常生活中,在各種領(lǐng)域中起到越來(lái)越重要的位置。此種通信方式比較簡(jiǎn)單,并且 FPGA 的IO 口也支持此種通信協(xié)議。 END PROCESS。 when OTHERS = next_state=st0。 when st3=next_state=st4。 ELSE next_state=st2。139。 when st1=next_state=st2。 圖 ADC0809 采樣狀態(tài)圖 由狀態(tài)圖我們可以發(fā)現(xiàn),運(yùn)用狀態(tài)機(jī)來(lái)控制 ADC0809 模塊無(wú)疑是最佳選擇。 轉(zhuǎn)換結(jié)束后, EOC 變?yōu)楦唠娖?,此時(shí)外部可以控制 OE 由低電平變?yōu)楦唠娖剑M(jìn)入有效輸出階段。此時(shí)進(jìn)入轉(zhuǎn)換狀態(tài),周期約為 100181。 上面兩段代碼表示 AD7416模塊的接口定義。 SDA : inout std_logic 16 )。 error : out std_logic。 data read from AD7416 I2C_OTI: in std_logic。 50MHz nReset : in std_logic。 END ENTITY simple_i2c。 i2c signals SCL : inout std_logic。 ack_out : out std_logic。 Din : in std_logic_vector(7 downto 0)。 clk_t : in unsigned(7 downto 0)。 enable : in std_logic。根據(jù)已有的資料和自己不斷總結(jié), 15 最終得到了實(shí)現(xiàn)目標(biāo)要求的代碼。 至此,針對(duì)溫度采集所面臨的問(wèn)題基本得以解決。由于 FPGA 的 IO 口需要進(jìn)行大量數(shù)據(jù)處理,因此 FPGA 的速度和硬件接口速度將會(huì)產(chǎn)生較大的偏差。 通過(guò)分析可知, 由于 FPGA 不具備 I2C 接口,在設(shè)計(jì)過(guò)程中我們必須保證 FPGA 不斷對(duì)通信總線進(jìn)行掃描,而且每個(gè)周期內(nèi)掃描兩次。 A 表示應(yīng)答, A 表示非應(yīng)答(高電平)。典型的 I2C 通信方式下圖所示。每次數(shù)據(jù)傳送總是由主 機(jī)產(chǎn)生的終止信號(hào)結(jié)束。 I2C 總線上傳送的數(shù)據(jù)信號(hào)是廣義的,既包括地址信號(hào),又包括真正的數(shù)據(jù)信號(hào)。然后,從機(jī)釋放 SDA線,以允許主機(jī)產(chǎn)生終止信號(hào)跟隨一位應(yīng)答位(即一幀共有 9 位)。數(shù)據(jù)傳送時(shí),先傳送最高位( MSB),每一個(gè)被傳送的字節(jié)后面都必須它收到最后一個(gè)數(shù)據(jù)字節(jié)后,必須向從機(jī)發(fā)出一個(gè)結(jié)束傳送的信號(hào)。對(duì)于不具備 I2C 總線硬件接口的 FPGA 來(lái)說(shuō),為了檢測(cè)起始和終止信號(hào),必須保證在每個(gè)時(shí)鐘周期內(nèi)對(duì)數(shù)據(jù)線 SDA 采樣兩次 .圖 給出了 I2C 規(guī)定的起始和終止信號(hào)。直到接收器件準(zhǔn)備好接收下一個(gè)字節(jié)時(shí) ,再釋放 SCL 線使之為高電平,從而使數(shù)據(jù)傳送可以繼續(xù)進(jìn)行。起始和終止信號(hào)都是由主機(jī)發(fā)出的,在起始信號(hào)產(chǎn)生后,總線就處于被占用的狀態(tài);在終止信號(hào)產(chǎn)生后,總線就處于空閑狀態(tài)。 圖 I2C總線關(guān)于數(shù)據(jù)位有效性的規(guī)定。 I2C 總線進(jìn)行數(shù)據(jù)傳送時(shí),時(shí)鐘信號(hào)為高電平期間,數(shù)據(jù)線上的數(shù)據(jù)必須保持穩(wěn)定,只有在時(shí)鐘線上的信號(hào)為低電平期間,數(shù)據(jù)線上的高電平或低電平狀態(tài)才允許變化。當(dāng)總線空閑時(shí),兩根線均為高電平。一根是數(shù)據(jù)線 SDA,另一根是時(shí)鐘線 SCL。 I2C 總線 [15]是 PHLIPS 公司推出的一 種串行總線,是具備多主機(jī)系統(tǒng)所需的包括總線裁決和高低速器件同步功能的高性能串行總線。 通過(guò)分析,我們已經(jīng)了解了 AD7416 工作的原理,但是一個(gè)新的問(wèn)題擺在我們面前,那就是 FPGA 的 IO 口并不支持 I2C 通信協(xié)議。 12 圖 給出了 AD7416 的功能模塊, 給出了 AD7416 的寄存器結(jié)構(gòu)圖。 由上述的 5 各步驟不難看出,對(duì)于 AD7416 的控制,關(guān)鍵在于對(duì)響應(yīng)信號(hào)的檢測(cè),以及對(duì)相應(yīng)信號(hào)做出相應(yīng)的應(yīng)答,即給出相應(yīng)的狀態(tài)字,控制傳感器狀態(tài)的變化。值得注意的是,根據(jù) I2C 總線協(xié)議, AD7416 傳送數(shù)據(jù)的第一位和最 后一位是數(shù)據(jù)最高位、結(jié)束標(biāo)志位,在數(shù)據(jù)接收結(jié)束后, FPGA 必須發(fā)送數(shù)據(jù)接收完畢信號(hào)給 AD7416,終止數(shù)據(jù)傳送,置數(shù)據(jù)總線為高阻態(tài)。 ( 4)此時(shí) AD7416 開始進(jìn)行溫度監(jiān)測(cè), FPGA 則不斷檢測(cè)是否有 AD7416 響應(yīng)信號(hào)發(fā)生。然后 FPGA 設(shè)定AD7416 的溢出溫度值。 ( 3) FPGA 檢測(cè) AD7416 的響應(yīng)信號(hào)后,即通過(guò)“ write” 指令選擇其工作方式。 ( 2) FPGA 檢測(cè)到 AD7416 返回的信號(hào)后,即通過(guò)“ write” 指令,選擇配置寄存器, AD7416 有六個(gè)寄存器,其地址從 00H 依次遞加至 05H。 ΔVBE=KT/ln(N) 式中, K 是波爾茲曼常數(shù), q 是電子電荷( 10- 19庫(kù)侖), T 是絕對(duì)溫度, N 是電 11 流比 。 溫度測(cè)量方法是在不同的電流下測(cè)量當(dāng)前 VBE的變化。 溫度控制模塊 系統(tǒng)所用溫度采集芯片是 AD7416?,F(xiàn)實(shí)中為了保證設(shè)計(jì)的效率,功能的完整往往采用多種方法相結(jié)合的方式,而不是拘泥于某一種,例如本設(shè)計(jì)中就是利用前兩種方法相結(jié)合,在利用QuartusII 自身提供的相關(guān)模塊基礎(chǔ)上自行設(shè)計(jì)其他模塊。 Quartus II 可以接受的網(wǎng)表有EDIF 格式、 VHDL 格式及 Verilog 格式等。對(duì)于大量的規(guī)范的、易于用語(yǔ)言描述、易于綜合的電路可以采用這種輸入方法。描述語(yǔ)言的優(yōu)點(diǎn)是效率高,結(jié)果也容易仿真,信號(hào)觀察也較方便。原理圖輸入的缺點(diǎn)雖然仿真容易但是效率很低,但是這樣的方法便于信號(hào)觀察以及電路的調(diào)整,看起來(lái)也很直觀。為提高效率,采用這種方法輸入的時(shí)候應(yīng)采用自頂向下邏輯分塊,即把大規(guī)模的電路劃分成若干小塊的方法。Quartus II 同時(shí)支持 Altera 公司的 IP 核,包含了各種宏功能模塊庫(kù),使用戶可以直接利用已經(jīng)經(jīng)過(guò)編譯的成熟模塊,如本設(shè)計(jì)中用到的雙口 RAM 模塊,簡(jiǎn)化了設(shè)計(jì)中的復(fù)雜性,并且可以縮短設(shè)計(jì)的周期。并上電測(cè)試成功。 圖 系統(tǒng)供電電源電路 本章小結(jié) 本章根據(jù)系統(tǒng)的制定方案,完成了系統(tǒng)的硬件設(shè)計(jì),如 FPGA 接口電路,系統(tǒng)供電電路,輔助電源的設(shè)計(jì)。在本次設(shè)計(jì)中,采用自行設(shè)計(jì)的 AC_DC 三路輸出電源, 220V 交流輸入,得到 7V, +, 三路輸出。 5V 為 LCD12232,MAX232 的驅(qū)動(dòng)電壓??梢?RS232 接口標(biāo)準(zhǔn)采用的是負(fù)邏輯,其邏輯電平和 TTL 電平不一樣,不能兼容,所以必須進(jìn)行電平轉(zhuǎn)換,在此選用的電平轉(zhuǎn)換芯片為 MAX3232,其電路圖如圖 所示: 圖 RS232 接口電路 9 供電電源電路設(shè)計(jì) 系統(tǒng)所需電源為 7V, 5V, 。RS232 協(xié)議的信號(hào)電平必須在177。之所以選擇串行通信,是由于串行通 信結(jié)構(gòu)簡(jiǎn)單,可靠性高,并且成本較低。其接口電路如圖 所示。而且本次設(shè)計(jì)所使用的低成本 FPGA,具有數(shù)據(jù)掉電保存功能,即系統(tǒng)掉電以后,已下載到 FPGA 中的工程并不會(huì)丟失。并且兩者都存在這一定的模式缺陷,即不能在線調(diào)試,而 JTAG 模式可以在線調(diào)試。還有一種就是 JTAG 配置, JTAG 接口是一個(gè)業(yè)界標(biāo)準(zhǔn),主要用于芯片測(cè)試等功能,使用 . 1149. 1 聯(lián)合邊界掃描接口引腳,可以使用 Altera 下載電纜來(lái)完成。 AS 方式是由 FPGA 引導(dǎo)配置操作過(guò)程,就是本設(shè)計(jì)中所利用到的配置方式, FPGA 處于主動(dòng)地位,上電后 FPGA 引導(dǎo) FPGA 配置芯片 EPCS4 將存儲(chǔ)的程序加載給 FPGA,而不需要外部計(jì)算機(jī)或控制器進(jìn)行配置。因而我們就必須了解SED1520 的指令代碼,并通過(guò) FPGA 產(chǎn)生相應(yīng)的信號(hào),實(shí)現(xiàn)與 SED1520 的通信。 SED1520 的主要特性有:具有液晶顯示驅(qū)動(dòng)器, 16 路行驅(qū)動(dòng)輸出,級(jí)聯(lián)可實(shí)現(xiàn) 32 路行輸出;具有 61路列驅(qū)動(dòng)輸出,可通過(guò)級(jí)聯(lián)實(shí)現(xiàn) 122 路列驅(qū)動(dòng)輸出;內(nèi)置時(shí)序發(fā)生器,可產(chǎn)生占空比為 1/16 和 1/32 兩者種;內(nèi)置顯示存儲(chǔ)器,顯示存儲(chǔ)器 內(nèi)的數(shù)據(jù)直接顯示。 (3) 與 LCD12232 接口設(shè)計(jì) 本次設(shè)計(jì)采用深圳駿顯科技生產(chǎn)的 LCD12232C 型液晶,由兩片 SED1520 芯片控制。 OE 為 輸出使能信號(hào),當(dāng)其為高電平時(shí),將轉(zhuǎn)換好的數(shù)據(jù)傳入 8 位數(shù)據(jù)總線。其原理圖如圖 所示。轉(zhuǎn)換時(shí)間約為100ms,含鎖存控制的 8 路多路開關(guān),輸出由三態(tài)緩沖器控制,單 5V 供電。 0809 是 CMOS 的 8 位 A/D 轉(zhuǎn)換器。尤其要注意的是,由于 I2C 總線協(xié)議規(guī)定, SDA 雙向數(shù)據(jù)串行總線在一個(gè) SCL 內(nèi)只能傳輸一位數(shù)據(jù),所以在匹配時(shí)鐘時(shí)要特別注意。 OTI 為系統(tǒng)告警邏輯輸出。 8 VDD 正向供電電壓, ~ 。 7 A0 數(shù)字輸入。 6 A1 數(shù)字輸入。 5 A2 數(shù)字輸入。漏極開路輸出。當(dāng)通道 0(溫度傳感器)的轉(zhuǎn)換結(jié)果大于溫度過(guò)熱寄存器( OTR)的 8 位數(shù)時(shí),溫度過(guò)熱指示器( OTI)置位。串行總線時(shí) 鐘。雙向數(shù)據(jù)串行總線。圖 為 FPGA 與 AD7416 的接口電路。它采用I2C 通信協(xié)議,采用單通道模擬輸入,一路數(shù)字輸出。 (1) 與溫度采集芯片接口設(shè)計(jì) AD7416 是 ADI 公司生產(chǎn)的 8 腳溫度監(jiān)測(cè)器。確定了設(shè)計(jì)方案并選擇了合適的器件。 本章主要討論了系統(tǒng)整體方案的設(shè)計(jì),及系統(tǒng)目標(biāo)的設(shè)定。經(jīng)過(guò)兩種設(shè)計(jì)語(yǔ)言的比較,在此選擇利用 VHDL 語(yǔ)言來(lái)編寫 FPGA 模塊。圖 為系統(tǒng)框圖。具有與 68 系列或 80 系列相適配的 MPU接口功能,并有專用的指令集,可完成文本顯示或圖形顯示的功能。具有 16mon 和 61segment 輸出,并可外接驅(qū)動(dòng) IC 擴(kuò)展驅(qū)動(dòng)。 LCD12232[9]是一直由 SED1520 控制的, 122*32 點(diǎn)液晶顯示屏。該裝置包含一個(gè)約 15μ s的轉(zhuǎn)換器, 5 通道多路復(fù)用器,溫度傳感器,時(shí)鐘振蕩器,跟蹤 保持器和一個(gè) 的參考電壓。 AD7416 是 10 位, 5 通道的 ADC,采集精度為 ℃ 。該溫度監(jiān)測(cè)器可通過(guò)多路復(fù)用器的 0 通道進(jìn)行訪問(wèn)。介于成本的考慮,我們采用低成本的 EPM1270144來(lái)完成本次設(shè)計(jì)。隨著半導(dǎo)體工藝的進(jìn)步, FPGA的產(chǎn)品不僅性價(jià)比高,同時(shí)可以在較惡劣的環(huán)境下完成其他數(shù)字器件所不能完成的任務(wù)。 FPGA可以實(shí)現(xiàn)許多復(fù)雜的特殊功能邏輯,減小了部件數(shù)量,縮短了開發(fā)周期,并且在 FPGA中可以實(shí)現(xiàn)比微處理器更為復(fù)雜的邏輯功能,很多算法可以很容易地在 FPGA中實(shí)現(xiàn)。數(shù)據(jù)處理部分則通過(guò)硬件設(shè)計(jì)來(lái)完成;按鍵控制部分利用 4個(gè)按鍵來(lái)控制080 AD7416轉(zhuǎn)換通道選擇;圖形顯示部分輸出當(dāng)前采集到的電壓、溫度值。設(shè)計(jì)過(guò)程 中采用 FPGA作為控制器,完成對(duì) A/ D轉(zhuǎn)換器的控制,并將采集到的數(shù)據(jù)存儲(chǔ)到一定的存儲(chǔ)單元,通過(guò)串口在 LCD12232上顯示。 完整的數(shù)據(jù)采集過(guò)程通常由數(shù)據(jù)的通道選擇、采樣、處理、顯示構(gòu)成,有時(shí)也要對(duì)
點(diǎn)擊復(fù)制文檔內(nèi)容
高考資料相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1