freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

基于fpga的數(shù)據(jù)采集器(完整版)

2024-10-20 13:03上一頁面

下一頁面
  

【正文】 入轉換器中。串行總線地址的最低可編程位。信號在連續(xù)讀操作結束時重置。其管腳結構圖如下: 圖 AD7416 管腳結構圖 表 給出了 AD7416 的管腳說明。上位機接收和控制界面的軟件應用的是已有的串口調試軟件。 具有低功耗、供應電壓范圍寬等特點。 4 溫度采集芯片 AD7416[8]是有 8 個管腳的溫度監(jiān)測器。具體涉及的外圍電路中,電壓采集部分主要應用 ADC0809作為數(shù)據(jù)采集芯片,對輸入的模擬量進行 A/ D轉換;溫度采集部分主要由 AD7416作為數(shù)據(jù)采集芯片。 3. 能對讀取的開關量進行相關顯示。 第 5章系統(tǒng)實現(xiàn)與設計結果,分析了采集結果并討論了采集結果是否符合要求。它可以與微機通訊,建立設備狀態(tài)數(shù)據(jù)庫,可測量振動信號的加速度、速度和位移,還可測量電壓信號和轉速信號,采樣頻率為 1Hz到 10KHz。目前國外的數(shù)據(jù)采集方面的研究主要著重于傳感器,高精度、高速度的傳感器層出不窮而且在價格上有了很大進步。數(shù)據(jù)采集技術作為信息科學的一個重要分支,是以傳感器、信號測量與處理、微型計算機等技術為基礎而形成的一門綜合應用型技術。從近來國外公司展示的新產品可以看出,主要的發(fā)展可以概括為功能多樣,體積減小和使用方便等三個方面。近年來,發(fā)達國家在研制和使用數(shù)據(jù)采集系統(tǒng)方面發(fā)展較為迅速,儀器的性能先進,使用范圍廣,且 2 耐用可靠,但價格較為昂貴,在測量功能、測量通道和數(shù)據(jù)齊全等方面存在不同程度的缺陷。 第 2章系統(tǒng)硬件設計,包括各部分接口設計以及 PCB設計。在實際監(jiān)測中,溫度和電壓也是非常重要的監(jiān)測對象,有很強的實用 性 。 完整的數(shù)據(jù)采集過程通常由數(shù)據(jù)的通道選擇、采樣、處理、顯示構成,有時也要對數(shù)據(jù)進行適當?shù)奶幚怼kS著半導體工藝的進步, FPGA的產品不僅性價比高,同時可以在較惡劣的環(huán)境下完成其他數(shù)字器件所不能完成的任務。該裝置包含一個約 15μ s的轉換器, 5 通道多路復用器,溫度傳感器,時鐘振蕩器,跟蹤 保持器和一個 的參考電壓。圖 為系統(tǒng)框圖。 (1) 與溫度采集芯片接口設計 AD7416 是 ADI 公司生產的 8 腳溫度監(jiān)測器。串行總線時 鐘。 6 A1 數(shù)字輸入。尤其要注意的是,由于 I2C 總線協(xié)議規(guī)定, SDA 雙向數(shù)據(jù)串行總線在一個 SCL 內只能傳輸一位數(shù)據(jù),所以在匹配時鐘時要特別注意。 OE 為 輸出使能信號,當其為高電平時,將轉換好的數(shù)據(jù)傳入 8 位數(shù)據(jù)總線。 AS 方式是由 FPGA 引導配置操作過程,就是本設計中所利用到的配置方式, FPGA 處于主動地位,上電后 FPGA 引導 FPGA 配置芯片 EPCS4 將存儲的程序加載給 FPGA,而不需要外部計算機或控制器進行配置。其接口電路如圖 所示。 5V 為 LCD12232,MAX232 的驅動電壓。Quartus II 同時支持 Altera 公司的 IP 核,包含了各種宏功能模塊庫,使用戶可以直接利用已經經過編譯的成熟模塊,如本設計中用到的雙口 RAM 模塊,簡化了設計中的復雜性,并且可以縮短設計的周期。對于大量的規(guī)范的、易于用語言描述、易于綜合的電路可以采用這種輸入方法。 溫度測量方法是在不同的電流下測量當前 VBE的變化。然后 FPGA 設定AD7416 的溢出溫度值。 12 圖 給出了 AD7416 的功能模塊, 給出了 AD7416 的寄存器結構圖。當總線空閑時,兩根線均為高電平。直到接收器件準備好接收下一個字節(jié)時 ,再釋放 SCL 線使之為高電平,從而使數(shù)據(jù)傳送可以繼續(xù)進行。 I2C 總線上傳送的數(shù)據(jù)信號是廣義的,既包括地址信號,又包括真正的數(shù)據(jù)信號。 通過分析可知, 由于 FPGA 不具備 I2C 接口,在設計過程中我們必須保證 FPGA 不斷對通信總線進行掃描,而且每個周期內掃描兩次。 enable : in std_logic。 i2c signals SCL : inout std_logic。 error : out std_logic。 轉換結束后, EOC 變?yōu)楦唠娖?,此時外部可以控制 OE 由低電平變?yōu)楦唠娖?,進入有效輸出階段。 ELSE next_state=st2。此種通信方式比較簡單,并且 FPGA 的IO 口也支持此種通信協(xié)議。下面給出了本次設計中使用到的 SED1520 芯片的指令集。值得注意的是列地址指針在每次操作后都會自加 1,這使我們的設計難度下降了許多。因此只需將其數(shù)據(jù)總線與 FPGA 的 IO 相連即可進行數(shù)據(jù)傳遞。 CLK 50M AD7416 : IN STD_LOGIC_VECTOR(9 DOWNTO 0)。 從代碼我們看出, LCD12232 控制模塊的任務是接收 AD741 ADC080串口的數(shù)據(jù),控制 LCD 的工作狀態(tài),顯示待顯示的數(shù)據(jù)。芯片 MAX232[17]是由 MAXIM 公司生產的,包含兩路接收器和驅動器的 IC 芯片。 首先,我們先簡要 UART 的原理 [18]: UART 主要有 UART 內核、信號監(jiān)測器、移位寄存 器、波特率發(fā)生器、計數(shù)器、總線選擇器和奇偶校驗器總共 7 個模塊組成,如圖 所示: 20 圖 UART 原理圖 然后我們簡要介紹 UART 的工作流程。 RS232 串行數(shù)據(jù)線包括一條數(shù)據(jù) PC傳輸數(shù)據(jù)的 TXD線和 FPGA接收數(shù)據(jù)的 RXD線。幀與幀之間用高 電平分開。 COM_TRANSMIT : OUT STD_LOGIC。 22 FPGA 調試簡介 在 FPGA 硬件語言設計完成之后需要對其進行仿真與調試,成功之后才能制板。模塊圖如圖 所示。模塊圖如圖 所示。綜上所述, ADC0809 監(jiān)測電壓模塊設計正確。根據(jù) 節(jié)的指令集描述我們可以看出,前 5 條指令的作用是初始化 SED1520,后三條指令的作用是選定第三頁,第一行,第一列的顯示寄存器。 圖 串口調試界面 26 頂層設計仿真與調試結果 系統(tǒng)頂層設計圖如下所示: 圖 頂層設計示意圖 在第二節(jié)我們曾經對系統(tǒng)所應實現(xiàn)的目標進行了討論,從底層設計的示意圖我們可以看出,之前我們所設計顯示模塊,溫度控制模塊,串行通信模塊,電壓監(jiān)測模塊等均在頂層設計中得到實現(xiàn)。這表明我們的設計還能夠進一步進行優(yōu)化,使設計的功能能夠進一步強化,占用資源卻進一 27 步減小。 硬件電路在焊接無誤的情況下,在系統(tǒng)上電后,使用數(shù)字萬用表以及示波器檢測芯片各引腳信號的準確性,檢查電源輸入插口,電 壓轉換芯片 MAX232 以及 FPGA各引腳的電壓。通過 Altera 數(shù)據(jù)手冊提供的關于Quartusll JTAG 配置步驟將編譯并且仿真 好的程序代碼文件通過 JTAG 接口燒寫進FPGA 的 SRAM 中.這里不是先將程序燒寫迸配置芯片 EPCS4,目的是為了防止程序不能達到預期的目的時,方便在線調試( CPLD 具有帶電擦寫功能,因此 CPLD 同樣具備此優(yōu)勢)。 隨后進行了電壓采集測試。首先經過 FPGA 的模塊設計,并對軟件進行了仿真,在仿真平臺上實現(xiàn)了預期的溫度、電壓采集和異步串行通信的目標。 (5) 通過 節(jié)的分析我們知道目前系統(tǒng) 占用了比較多的 IO 口,而底層的邏輯門電路則占用了 87%。在系統(tǒng)速率和穩(wěn)定性方面仍需改進。 作為一個本科生,由于經驗的匱乏, 在設計中存在著 許多考慮不周全的地方,如果沒有導師的督促指導,以及一起 學習 的同學們的支持,想要完成這個設計是難以想象的。 最后 我要 感謝 測光 學院和我的母校 — 南昌航空 大學 , 四年來對我的大力栽培。 雖然柴 老師平日里工作繁多,但是 在我做畢業(yè)設計的每個階段,從 選題 到查閱資料, 從 設計草案的確定和修改 、 中期檢查 、 后期詳細設計 到 裝配草圖等整個過程都給予了我悉心的指導。由于 FPGA 存在的軟件修改優(yōu)勢,可以在對硬件電路做出極小改動的情況下完成新的設計,因此本系統(tǒng)在工業(yè)上具備一定的使用價值。 本設計目前完成的任務是完成對溫度采集芯片 AD7416 的以及數(shù)模轉換芯片ADC0809 的調試。最后完成實際電路實現(xiàn),通過采集的結果和系統(tǒng)板的運行情況表明,所設計的系統(tǒng)能夠滿足本課題的要求,由于時間的限制以及本人水平限制,在此并沒有完成對系統(tǒng)的優(yōu)化和串行通信顯示調試,由于系統(tǒng)在設計初已經規(guī)劃好各芯片引腳,后續(xù)的工作只需要在 FPGA 軟件上增加控制模塊,也就是只需在軟件上進行擴展而不需要進行硬件方面的改動。經過測試發(fā)現(xiàn),萬用表測量值與系統(tǒng)采樣電壓值基本相同,但系統(tǒng)采樣頻率響應比較慢,影響了數(shù)據(jù)的采樣輸出,但是采樣的結果是正確的。通過觀察我們發(fā)現(xiàn),我們采集得到的溫度與室溫( 25 攝氏度)存在偏差。通過檢測后發(fā)現(xiàn), MAX232 芯片輸出異常。 圖 頂層設計綜合結果 本章小結 本章完成了對 FPGA 各模塊包括頂層設計的綜合仿真,并將各模塊連同頂層模塊下載測試。分析其原因,在于針對溫度和電壓的顯示,其周期相對較長,刷新頻率只需設定在 2Hz。將編寫的程序下載至開發(fā)板,可以發(fā)現(xiàn)LCD12232 可以成功顯示預先存入的測試數(shù)據(jù)。模塊圖如圖 所示。 24 控制 ADC0809 狀態(tài)改變的狀態(tài)字 ALE、 OE、 START 信號,隨 ADC0809 周期周期的發(fā)生改變。 ERROR、 WARNING 和 I2C_OTI 信號為系統(tǒng)告警信號,低電平表示系統(tǒng)安全工作。仿真采用嵌入在軟件中的 SignalTap II。 END UART。傳送與接受的雙方設定好同樣的傳輸位數(shù),直到 1個數(shù)據(jù)位送完以后,送停止位。FPGA 與 PC 機的通訊框圖如圖 所示。接收過程指的是 UART 監(jiān)測到 RS232 總線上的數(shù)據(jù),順序讀取串行數(shù)據(jù)并且將其輸出給 CPU 的過程。 MAX232 芯片內部有一個電源電壓變換器,可以將輸入的 +5V 電壓轉換成 RS232 所需的 10? V 電壓。實現(xiàn)串口通信主要需要完成兩部分工作: 將串口電平轉換為設備電路板的工作電平,即實現(xiàn) RS232 電平和 TTL/CMOS 電平的轉換;接收并且檢驗串行的數(shù)據(jù),將數(shù)據(jù)變成并行的并提供給處理器處理 。 UART : IN STD_LOGIC_VECTOR(7 DOWNTO 0)。 ( 2)由于 SED1520的顯示寄存器在初始化后 均默認存儲數(shù)值為 “1”,顯然這不利與我們進一步的顯示操作。因此欲實現(xiàn)對 LCD12232 顯示的控制,除了要熟悉它的指令集之外,還必須了解它的顯示方法與待顯示字字符模型。對于起始行設置,由于液晶屏共有 32 行,其地址從 00H 開始到 1FH 截止。 LCD12232 顯示模塊 液晶顯示屏已廣泛應用于人們的日常生活中,在各種領域中起到越來越重要的位置。 when st3=next_state=st4。 圖 ADC0809 采樣狀態(tài)圖 由狀態(tài)圖我們可以發(fā)現(xiàn),運用狀態(tài)機來控制 ADC0809 模塊無疑是最佳選擇。 SDA : inout std_logic 16 )。 END ENTITY simple_i2c。 clk_t : in unsigned(7 downto 0)。由于 FPGA 的 IO 口需要進行大量數(shù)據(jù)處理,因此
點擊復制文檔內容
高考資料相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1