freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的數(shù)據(jù)采集器(留存版)

2024-11-01 13:03上一頁面

下一頁面
  

【正文】 6 的初始化相對(duì)簡單,只需發(fā)送相應(yīng)系統(tǒng)初始化指令即可。因此,我們還必須針對(duì) FOGA 的 IO口進(jìn)行編程,已滿足系統(tǒng)目標(biāo)的要求。 14 圖 起始和終止信號(hào) I2C 規(guī)定每一個(gè)字節(jié)必須保證是 8 位長度。為此, I2C 數(shù)據(jù)總線上的數(shù)據(jù)傳輸要有一個(gè)較長的起始過程加以引導(dǎo)。 designed by H_YS and L_YM the ad7416 BLOCK entity AD7416_I2C_TEST is port ( clk_sys : in std_logic。其核心代碼如下: designed by L_YM and H_YS BEGIN CASE current_state IS when st0= next_state=st1。為了方便數(shù)據(jù)監(jiān)測,并使的設(shè)計(jì)具有實(shí)用性,在本次設(shè)計(jì)中,加入 LCD12232模塊,用來顯示系統(tǒng)采集到的數(shù)據(jù)。 通過實(shí)驗(yàn)我們得到結(jié)論:液晶顯示屏共有 2 屏(左、右屏), 4 頁。 E1, E2 : OUT STD_LOGIC。所以采用此芯片接口的串行電路只需一個(gè)單 5V供電就可以了。 圖 FPGA與 PC機(jī)的通訊框圖 異步串行通訊是一幀一幀進(jìn)行傳輸,幀與幀之間的間隙不固定,間隙處用空閑位(高電平)填補(bǔ),每幀傳輸總是以邏輯 (低電平)狀態(tài)的起始位開始,停止位結(jié)束。 由代碼我們可以清晰的看出,我們設(shè)定了一個(gè) 8 位數(shù)據(jù)傳送禎,即 FPGA 在與上位機(jī)通信時(shí),所發(fā)送或接收的數(shù)據(jù)一定是 8 位的。由圖可以看到, SCL 的仿真結(jié)果是高電平,根據(jù) I2C 總線協(xié)議, SCL 在閑時(shí)(因?yàn)榇藭r(shí)我們并未將數(shù)據(jù)總線與 AD7416 連接,因此系統(tǒng)總線為閑置態(tài))為高電平, SDA 則無輸出,或輸出阻態(tài)。 圖 LCD12232 模塊圖 圖 LCD12232 仿真結(jié)果 為了方便測試,我們將設(shè)計(jì)的 LCD 模塊簡化,去掉數(shù)據(jù)選擇功能,仿真結(jié)果如圖 所示。而異步串行通信響應(yīng)快,易受周圍環(huán)境影響,無法做到與溫度、電壓的同步顯示。后來經(jīng)過反復(fù)檢查發(fā)現(xiàn), MAX232 的供電電路的三極管被接錯(cuò),下拉電阻焊錯(cuò)。 圖 系統(tǒng)采樣結(jié)果 最后進(jìn)行了整系統(tǒng)綜合測試。并利用它們對(duì)系統(tǒng)溫度值和電壓值進(jìn)行采樣,經(jīng)過一定處理后,通過 LCD12232 顯示采樣值。我的設(shè)計(jì)較為復(fù)雜煩瑣,但是 柴 老師仍然細(xì)心地糾正 設(shè)計(jì) 中的錯(cuò)誤。 32 參考文獻(xiàn) [1].馬明建 .數(shù)據(jù)采集與處理技術(shù) [M].武漢大學(xué)出版社 . [2].邵高平等 .高速全波列數(shù)據(jù)采集系統(tǒng)的設(shè)計(jì) [J].微計(jì)算機(jī)信息, 1998年第四卷 01期 6870. [3]. Wang Lixin, Song Wei, Lu Chao. Implementation of hi29h speed real time data acquisition and transfer system[C]. 4th IEEE Conference on Digital Industrial Electronics and Applications, 2020: 382386. [4]. Li Shuqing, Wang Guanya. The Data Acquisition and Analysis System of Grating Geophone Based on FPGA[C]. Proceedings of the IEEE, International Conference on Automation and Logisfics, Jinan, China, 2020: 13781381. [5].褚建平,亓夫軍等.基于 FPGA的線陣 CCD數(shù)據(jù)采集系統(tǒng) [J].電子設(shè)計(jì)應(yīng)用, 2020年 08期 4547. [6].徐海軍,葉衛(wèi)東. FPGA在高性能數(shù)據(jù)采集系統(tǒng)中的應(yīng)用 [J].計(jì)算機(jī)技術(shù)與應(yīng)用, 2020年第 25卷第 l期 4043. [7].徐鈞.基于 USB和 FPGA技術(shù)的高性能數(shù)據(jù)采集系統(tǒng)設(shè)計(jì)與實(shí)現(xiàn) [D].南京理工大學(xué). [8].唐洪亮 .基于 AD7416多點(diǎn)溫度測量系統(tǒng)的實(shí)現(xiàn) [J].湖北第二師范學(xué)院二學(xué)報(bào), 2020年第 27卷第二期 8083. [9].張紅娜胡榮強(qiáng)胡合松 .基于 FPGA的液晶顯示接口設(shè)計(jì) [J].世界電子元件 2020年第 8卷 5658. [10].潘松黃繼業(yè) .EDA技術(shù)使用教程 [m].科學(xué)出版社 2020. [11].ALTERA Cyclone EP1C3T144 使用說明書 . [12].張志利 .基于 RS232協(xié)議的單片 機(jī)多級(jí)通信網(wǎng)絡(luò)研究 [J].自動(dòng)化技術(shù)與應(yīng)用 2020年第 28 卷 第 4期 5455. [13].黃智偉 .FPGA系統(tǒng)設(shè)計(jì)與實(shí)踐 [M].北京電子工業(yè)出版社, 2020. [14].嚴(yán)天峰 .AD7416數(shù)字溫度傳感器及其應(yīng)用 [J]. 電子世界 ,2020年第六期 3435. [15].王峰,鄧銳 .I2C總線從器件接口的 FPGA實(shí)現(xiàn) [J].計(jì)算機(jī)與網(wǎng)絡(luò), 2020年第四期 208209. [16].韓佩富 ,潘鋒 ,趙新秋 .基于 VHDL的異步串行通信電路設(shè)計(jì) [J].微電子技術(shù), 2020年第 31卷第 4期 1618. [17].MAXIM +5VPowered, Multichannel RS232 Drivers/Receivers,194323,Rev 7b,11/97. [18].李海平 ,孔祥成 . FPGA的 UART設(shè)計(jì)和實(shí)現(xiàn) [J]. 中國科學(xué)院研究生院學(xué)報(bào) , 2020年第 27卷 第 2期 3337. 33 致謝 經(jīng)過半年的忙碌和工作,本次畢業(yè)設(shè)計(jì)已經(jīng)接近尾聲 。 (4) 上位機(jī)界面控制軟件使用的是基于 VC 設(shè)計(jì)的串口調(diào)試工具,調(diào)試過程簡單方便,測試結(jié)果可靠。因此溫度監(jiān)測結(jié)果正確。確保系統(tǒng)在接上電源后,系統(tǒng)各部分供電正常。圖 為串口調(diào)試界面。并且當(dāng)電壓值改變后,自行更新監(jiān)測電壓值。 將 節(jié)所設(shè)計(jì)的模塊代碼通過 Quartus II 軟件進(jìn)行編譯,順利通過編譯后生成模塊圖。 DATA_IN : IN STD_LOGIC_VECTOR(7 downto 0)。 在了解了 UART 通信后,我們開始討論 PC 機(jī)與 FPGA 的通訊。 這里我們需要解決就是 RS232電平與 TTL/CMOS電平轉(zhuǎn)換問題。 其接口部分代碼如下: ENTITY LCD12232 IS PORT( CLR,CLK : IN STD_LOGIC。列地址指針和頁地址寄存器組合唯一指定了顯示屏上的一個(gè)點(diǎn)。 由于 ADC0809 采用通用串口通信方式。s。 Dout : out std_logic_vector(9 downto 0)。 S 表示起始信號(hào), P 表示終止信號(hào)。接收器件收到一個(gè)完整的數(shù)據(jù)字節(jié)后,有可能需要完成一些其它工作,如處理內(nèi)部中斷服務(wù)等,可能無法立刻接收下一個(gè)字節(jié),這時(shí)接收器件可以將SCL 線拉成低電平,從而使主機(jī)處于等待狀態(tài)。如此分析下來,我們選擇狀態(tài)機(jī)作為 AD7416 的狀態(tài)控制器,來完成我們設(shè)定的目標(biāo)。此芯片是 8 腳貼片式封裝,由第二節(jié)可知,其 2 腳為遵循 I2C 通信協(xié)議的串行信號(hào)、時(shí)鐘總線, 7 腳為數(shù)據(jù)輸入線。 10 3. 系統(tǒng)的軟件設(shè)計(jì) 設(shè)計(jì)平臺(tái) Quartus II 簡介 軟件設(shè)計(jì)的平臺(tái)是 Altera 公司的 Quartus II 開發(fā)系統(tǒng), Quartus II[13]是綜合性的 PLD 開發(fā)軟件,支持原理圖,各種硬件描述語言以及多種設(shè)計(jì)輸入形式,自身帶有綜合器和仿真器,可以完成從硬件設(shè)計(jì),軟件設(shè)計(jì),仿真到硬件配置的完整設(shè)計(jì)流程。綜合上述原因,我們在此選用JTAG 配置模式。 START OE EOC D7D0 圖 ADC0809 與 FPGA 原理圖 圖中, D7~D0 是 ADC0809 的 8 位數(shù)據(jù)總線, START 為啟動(dòng)數(shù)模轉(zhuǎn)換信號(hào),高電平時(shí)有效。串行總線地址的最高可編程位。 PC 機(jī) FPGA AD7416 鍵盤 ADC0809 顯示 顯示 串行通信 I2C 通信 RS232 5 2. 系統(tǒng)的硬件設(shè)計(jì) FPGA 接口電路設(shè)計(jì) 從 第一節(jié)我們可以知道, FPGA 的任務(wù)是采集溫度,電壓,與上位機(jī)通訊,并將采集獲得的數(shù)據(jù)送往 LCD12232。其片上溫度傳感器可用 ~ 電壓供電。 7. 能將采樣得到的數(shù)據(jù)送往 LCD 顯示。 第 1章系統(tǒng)分析及方案設(shè)計(jì),對(duì)整個(gè)系統(tǒng)進(jìn)行了需求分析,并介紹了整體設(shè)計(jì)方案的制定以及可靠性的設(shè)計(jì)和分析。國外方面 [2][3][4],隨著國外微電子技術(shù)、計(jì)數(shù)機(jī)技術(shù)、測控技術(shù)和數(shù)字通信技術(shù)的發(fā)展,目前國外數(shù)據(jù)采集技術(shù)已經(jīng)較初期有了很大的發(fā)展。比如美國尼高力儀器技術(shù)公司 08年生產(chǎn)的 2700型 數(shù)據(jù)采集器,完美地將數(shù)據(jù)記錄儀、程控開關(guān)與數(shù)字表的優(yōu)勢集于一身,是一 款高精度、多功能、使用方便的多路數(shù)據(jù)采集器。 第 6章總結(jié)與展望,對(duì)本次論文進(jìn)行總結(jié),并對(duì)本次研究的不足進(jìn)行了總結(jié)。數(shù)據(jù)處理部分則通過硬件設(shè)計(jì)來完成;按鍵控制部分利用 4個(gè)按鍵來控制080 AD7416轉(zhuǎn)換通道選擇;圖形顯示部分輸出當(dāng)前采集到的電壓、溫度值。具有 16mon 和 61segment 輸出,并可外接驅(qū)動(dòng) IC 擴(kuò)展驅(qū)動(dòng)。圖 為 FPGA 與 AD7416 的接口電路。 8 VDD 正向供電電壓, ~ 。 SED1520 的主要特性有:具有液晶顯示驅(qū)動(dòng)器, 16 路行驅(qū)動(dòng)輸出,級(jí)聯(lián)可實(shí)現(xiàn) 32 路行輸出;具有 61路列驅(qū)動(dòng)輸出,可通過級(jí)聯(lián)實(shí)現(xiàn) 122 路列驅(qū)動(dòng)輸出;內(nèi)置時(shí)序發(fā)生器,可產(chǎn)生占空比為 1/16 和 1/32 兩者種;內(nèi)置顯示存儲(chǔ)器,顯示存儲(chǔ)器 內(nèi)的數(shù)據(jù)直接顯示。RS232 協(xié)議的信號(hào)電平必須在177。原理圖輸入的缺點(diǎn)雖然仿真容易但是效率很低,但是這樣的方法便于信號(hào)觀察以及電路的調(diào)整,看起來也很直觀。 ( 2) FPGA 檢測到 AD7416 返回的信號(hào)后,即通過“ write” 指令,選擇配置寄存器, AD7416 有六個(gè)寄存器,其地址從 00H 依次遞加至 05H。 I2C 總線 [15]是 PHLIPS 公司推出的一 種串行總線,是具備多主機(jī)系統(tǒng)所需的包括總線裁決和高低速器件同步功能的高性能串行總線。數(shù)據(jù)傳送時(shí),先傳送最高位( MSB),每一個(gè)被傳送的字節(jié)后面都必須它收到最后一個(gè)數(shù)據(jù)字節(jié)后,必須向從機(jī)發(fā)出一個(gè)結(jié)束傳送的信號(hào)。 至此,針對(duì)溫度采集所面臨的問題基本得以解決。 50MHz nReset : in std_logic。 when st1=next_state=st2。在第 節(jié)我們已經(jīng)對(duì) LCD12232 進(jìn)行了初步的探討,線面我
點(diǎn)擊復(fù)制文檔內(nèi)容
高考資料相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1