freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的數(shù)據(jù)采集器-全文預(yù)覽

2025-09-28 13:03 上一頁面

下一頁面
  

【正文】 PU 的過程。 本系統(tǒng)與上位機(jī)通訊依靠 RS232 串行線,故不存在距離干擾通訊的問題。 MAX232 芯片內(nèi)部有一個電源電壓變換器,可以將輸入的 +5V 電壓轉(zhuǎn)換成 RS232 所需的 10? V 電壓。因此可以將需要的 UART 功能集成到 FPGA 內(nèi)部,而利用 VHDL 語言將 UART 的核心功能集成,不僅解決傳統(tǒng)芯片的缺點(diǎn),也使整個設(shè)計(jì)更加緊湊、穩(wěn)定且可靠。實(shí)現(xiàn)串口通信主要需要完成兩部分工作: 將串口電平轉(zhuǎn)換為設(shè)備電路板的工作電平,即實(shí)現(xiàn) RS232 電平和 TTL/CMOS 電平的轉(zhuǎn)換;接收并且檢驗(yàn)串行的數(shù)據(jù),將數(shù)據(jù)變成并行的并提供給處理器處理 。 19 DB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0))。 UART : IN STD_LOGIC_VECTOR(7 DOWNTO 0)。 ( 4)選中右半屏,發(fā)送數(shù)據(jù)給顯示寄存器 ( 5)設(shè)定刷新頻率,檢測數(shù)據(jù)更新,操作結(jié)束。 ( 2)由于 SED1520的顯示寄存器在初始化后 均默認(rèn)存儲數(shù)值為 “1”,顯然這不利與我們進(jìn)一步的顯示操作。因此在生成字模時,我們應(yīng)將待顯示字“取反”后,再生成。因此欲實(shí)現(xiàn)對 LCD12232 顯示的控制,除了要熟悉它的指令集之外,還必須了解它的顯示方法與待顯示字字符模型。 LCD12232 是字符點(diǎn)陣液晶。對于起始行設(shè)置,由于液晶屏共有 32 行,其地址從 00H 開始到 1FH 截止??刂?LCD 的輸出,從本質(zhì)上來講就是控制 SED1520 芯片。 LCD12232 顯示模塊 液晶顯示屏已廣泛應(yīng)用于人們的日常生活中,在各種領(lǐng)域中起到越來越重要的位置。 END PROCESS。 when st3=next_state=st4。139。 圖 ADC0809 采樣狀態(tài)圖 由狀態(tài)圖我們可以發(fā)現(xiàn),運(yùn)用狀態(tài)機(jī)來控制 ADC0809 模塊無疑是最佳選擇。此時進(jìn)入轉(zhuǎn)換狀態(tài),周期約為 100181。 SDA : inout std_logic 16 )。 data read from AD7416 I2C_OTI: in std_logic。 END ENTITY simple_i2c。 ack_out : out std_logic。 clk_t : in unsigned(7 downto 0)。根據(jù)已有的資料和自己不斷總結(jié), 15 最終得到了實(shí)現(xiàn)目標(biāo)要求的代碼。由于 FPGA 的 IO 口需要進(jìn)行大量數(shù)據(jù)處理,因此 FPGA 的速度和硬件接口速度將會產(chǎn)生較大的偏差。 A 表示應(yīng)答, A 表示非應(yīng)答(高電平)。每次數(shù)據(jù)傳送總是由主 機(jī)產(chǎn)生的終止信號結(jié)束。然后,從機(jī)釋放 SDA線,以允許主機(jī)產(chǎn)生終止信號跟隨一位應(yīng)答位(即一幀共有 9 位)。對于不具備 I2C 總線硬件接口的 FPGA 來說,為了檢測起始和終止信號,必須保證在每個時鐘周期內(nèi)對數(shù)據(jù)線 SDA 采樣兩次 .圖 給出了 I2C 規(guī)定的起始和終止信號。起始和終止信號都是由主機(jī)發(fā)出的,在起始信號產(chǎn)生后,總線就處于被占用的狀態(tài);在終止信號產(chǎn)生后,總線就處于空閑狀態(tài)。 I2C 總線進(jìn)行數(shù)據(jù)傳送時,時鐘信號為高電平期間,數(shù)據(jù)線上的數(shù)據(jù)必須保持穩(wěn)定,只有在時鐘線上的信號為低電平期間,數(shù)據(jù)線上的高電平或低電平狀態(tài)才允許變化。一根是數(shù)據(jù)線 SDA,另一根是時鐘線 SCL。 通過分析,我們已經(jīng)了解了 AD7416 工作的原理,但是一個新的問題擺在我們面前,那就是 FPGA 的 IO 口并不支持 I2C 通信協(xié)議。 由上述的 5 各步驟不難看出,對于 AD7416 的控制,關(guān)鍵在于對響應(yīng)信號的檢測,以及對相應(yīng)信號做出相應(yīng)的應(yīng)答,即給出相應(yīng)的狀態(tài)字,控制傳感器狀態(tài)的變化。 ( 4)此時 AD7416 開始進(jìn)行溫度監(jiān)測, FPGA 則不斷檢測是否有 AD7416 響應(yīng)信號發(fā)生。 ( 3) FPGA 檢測 AD7416 的響應(yīng)信號后,即通過“ write” 指令選擇其工作方式。 ΔVBE=KT/ln(N) 式中, K 是波爾茲曼常數(shù), q 是電子電荷( 10- 19庫侖), T 是絕對溫度, N 是電 11 流比 。 溫度控制模塊 系統(tǒng)所用溫度采集芯片是 AD7416。 Quartus II 可以接受的網(wǎng)表有EDIF 格式、 VHDL 格式及 Verilog 格式等。描述語言的優(yōu)點(diǎn)是效率高,結(jié)果也容易仿真,信號觀察也較方便。為提高效率,采用這種方法輸入的時候應(yīng)采用自頂向下邏輯分塊,即把大規(guī)模的電路劃分成若干小塊的方法。并上電測試成功。在本次設(shè)計(jì)中,采用自行設(shè)計(jì)的 AC_DC 三路輸出電源, 220V 交流輸入,得到 7V, +, 三路輸出??梢?RS232 接口標(biāo)準(zhǔn)采用的是負(fù)邏輯,其邏輯電平和 TTL 電平不一樣,不能兼容,所以必須進(jìn)行電平轉(zhuǎn)換,在此選用的電平轉(zhuǎn)換芯片為 MAX3232,其電路圖如圖 所示: 圖 RS232 接口電路 9 供電電源電路設(shè)計(jì) 系統(tǒng)所需電源為 7V, 5V, 。之所以選擇串行通信,是由于串行通 信結(jié)構(gòu)簡單,可靠性高,并且成本較低。而且本次設(shè)計(jì)所使用的低成本 FPGA,具有數(shù)據(jù)掉電保存功能,即系統(tǒng)掉電以后,已下載到 FPGA 中的工程并不會丟失。還有一種就是 JTAG 配置, JTAG 接口是一個業(yè)界標(biāo)準(zhǔn),主要用于芯片測試等功能,使用 . 1149. 1 聯(lián)合邊界掃描接口引腳,可以使用 Altera 下載電纜來完成。因而我們就必須了解SED1520 的指令代碼,并通過 FPGA 產(chǎn)生相應(yīng)的信號,實(shí)現(xiàn)與 SED1520 的通信。 (3) 與 LCD12232 接口設(shè)計(jì) 本次設(shè)計(jì)采用深圳駿顯科技生產(chǎn)的 LCD12232C 型液晶,由兩片 SED1520 芯片控制。其原理圖如圖 所示。 0809 是 CMOS 的 8 位 A/D 轉(zhuǎn)換器。 OTI 為系統(tǒng)告警邏輯輸出。 7 A0 數(shù)字輸入。 5 A2 數(shù)字輸入。當(dāng)通道 0(溫度傳感器)的轉(zhuǎn)換結(jié)果大于溫度過熱寄存器( OTR)的 8 位數(shù)時,溫度過熱指示器( OTI)置位。雙向數(shù)據(jù)串行總線。它采用I2C 通信協(xié)議,采用單通道模擬輸入,一路數(shù)字輸出。確定了設(shè)計(jì)方案并選擇了合適的器件。經(jīng)過兩種設(shè)計(jì)語言的比較,在此選擇利用 VHDL 語言來編寫 FPGA 模塊。具有與 68 系列或 80 系列相適配的 MPU接口功能,并有專用的指令集,可完成文本顯示或圖形顯示的功能。 LCD12232[9]是一直由 SED1520 控制的, 122*32 點(diǎn)液晶顯示屏。 AD7416 是 10 位, 5 通道的 ADC,采集精度為 ℃ 。介于成本的考慮,我們采用低成本的 EPM1270144來完成本次設(shè)計(jì)。 FPGA可以實(shí)現(xiàn)許多復(fù)雜的特殊功能邏輯,減小了部件數(shù)量,縮短了開發(fā)周期,并且在 FPGA中可以實(shí)現(xiàn)比微處理器更為復(fù)雜的邏輯功能,很多算法可以很容易地在 FPGA中實(shí)現(xiàn)。設(shè)計(jì)過程 中采用 FPGA作為控制器,完成對 A/ D轉(zhuǎn)換器的控制,并將采集到的數(shù)據(jù)存儲到一定的存儲單元,通過串口在 LCD12232上顯示。 6. FPGA 通過 I2C 總線能對 AD7416 進(jìn)行模擬量的數(shù)據(jù)采集。 2. FPGA 能對系統(tǒng)內(nèi)部電壓進(jìn)行數(shù)據(jù)采集。對于數(shù)據(jù)采集的對象則選取了系統(tǒng)內(nèi)部電壓值與外部溫度值。 第 4章仿真與調(diào)試,針對已設(shè)計(jì)的工程,通過仿真器進(jìn)行仿真調(diào)試。 論文的組織結(jié)構(gòu)包括: 引言,介紹了課題背景,課題研究的目的和意義,并對現(xiàn)階段此研究的情況進(jìn)行了分析。北京測振 儀器廠 2020年研制的 Hz. 9609數(shù)據(jù)采集器/振動分析 儀,它采用中文顯示,直觀醒目,操作簡單方便;采用先進(jìn)的微電腦技術(shù),工作可靠;采用頻譜分析技術(shù)和故障診斷技術(shù),是進(jìn)行數(shù)據(jù)采集、完成設(shè)備狀態(tài)分析和故障診斷的得力助手。雖然這些數(shù)據(jù)采集器的功能無比強(qiáng)大,但是成本都較昂貴。成本較低的數(shù)據(jù)采集系統(tǒng)多以單片機(jī)為主芯片,但是在性能和速度方面就不具有優(yōu)勢。 如何對信號進(jìn)行實(shí)時采集、實(shí)時存儲,保證信號不丟失,以滿足工業(yè)現(xiàn)場的需要,一直是數(shù)據(jù)采集系統(tǒng)研究的一個重要方向。 1 引言 數(shù)據(jù)采集是獲取信息的基本手段。在工業(yè)生產(chǎn)中,應(yīng)用數(shù)據(jù)采集系統(tǒng)可以得到工業(yè)現(xiàn)場的溫度、濕度、電壓、電流等技術(shù)參數(shù),所得結(jié)果可以反饋給用戶和控制系統(tǒng),為提高產(chǎn)品質(zhì)量、降低成本提供信息;在科學(xué)研究方面,數(shù)據(jù)采集可以提供大量的現(xiàn)場信息,成為探索科學(xué)奧秘的重要手 段 [1]。國外在研制和使用數(shù)據(jù)采集系統(tǒng)方面發(fā)展迅速,基于 MedWin技術(shù)的數(shù)據(jù)采集器也發(fā)展較深,在體積和性能具有很大優(yōu)勢得采集器多以 FPGA為平臺,但價格相對來說就 不具有競爭力。同年惠普公司生產(chǎn)的 HP34970A 型數(shù)據(jù)采集器具有 6/ 12位分辨率, 0. 004%基本直流精確度和高達(dá) 250通道/秒的掃描率,非易失性存儲器可保存多達(dá) 50000個帶有時間標(biāo)記的讀書,可測包括直流 電壓、交流電壓等等數(shù)據(jù)。例如北京凱文斯系統(tǒng)集成有限責(zé)任公司 E16系列 EPP并口寬動態(tài)范圍的高精度數(shù)據(jù)采集器,數(shù)據(jù)通道最大可以達(dá)到 16個,采樣最高頻率決定于微機(jī)的 CPU及處理速度,一 般為 60. 80KHz。而國內(nèi)的采集器雖然價格低,但是在性能上還有上升的空間,所以在我國現(xiàn)有的基礎(chǔ)上,融合更為先進(jìn)的技術(shù)、工藝,制造出性價比更高,可再編程能力高,小巧而又實(shí)用的的測量儀器不失為儀器開發(fā)領(lǐng)域的一個熱點(diǎn)。 第 3章系統(tǒng)軟件設(shè)計(jì), 即針對各模塊的 VHDL編程。 3 1. 系統(tǒng)分析及方案設(shè)計(jì) 本次設(shè)計(jì)主要目標(biāo)是采集系統(tǒng)內(nèi)、外的數(shù)據(jù),并加以處理顯示。本次系統(tǒng)設(shè)計(jì)的具體目標(biāo)如下: 1. 有較好的人機(jī)顯示控制界面。 5. 能與上位機(jī)進(jìn)行通信。其中,控制模塊起到了核心作用,他作為控制信號產(chǎn)生和處理的中樞對這些外圍電路進(jìn)行著實(shí)時的監(jiān)控和管理。 之所以選擇 FPGA來完成此工作,原因如下: FPGA生成的硬件系統(tǒng)是基于并行方式運(yùn)行的,在同一 個時鐘周期內(nèi)可以進(jìn)行多個操作,因此同一項(xiàng)功能由 FPGA實(shí)現(xiàn)將比用微控制器實(shí)現(xiàn)運(yùn)行速度快很多。因此,盡管在與上位機(jī)通訊、串口通訊上稍顯繁瑣(相比于 單片機(jī)),我們?nèi)赃x用 FPGA來完成設(shè)計(jì)。片上寄存器可編程控制極限溫度,當(dāng)溫度超過極限時漏極開路溫度過熱指示器( OTI)處于工作狀態(tài)。其數(shù)據(jù)傳輸采用 I2C 通信協(xié)議。驅(qū)動方式為 1/32duty,驅(qū)動電壓 ~13V。 圖 系統(tǒng)整體框圖 在軟件設(shè)計(jì)方面, FPGA 開發(fā) 的主流語言為 VHDL 和 Verilog HDL 兩種語言, VHDL發(fā)展的比較早,語法要求比較嚴(yán)格,而 Verilog HDL 則是在 C 語言的基礎(chǔ)上發(fā)展起來的,語法要求相對比較松。包括了設(shè)計(jì)系統(tǒng)目標(biāo)和系統(tǒng)整體方案設(shè)計(jì)和器件選擇兩個部分。體積小,精度高,成本低。 管腳號 名稱 說明 1 SDA 數(shù)字 I/O。 3 OTI 邏輯輸出。 4 GND 跟蹤 保持、比較器和電容 DAC、數(shù)字電路的參考地。串行總線地址的中間可編程位。 表 AD7416 管腳功能說明 需要說明的是, AD7416 的 1 腳 SDA, 2 腳 SCL 是根據(jù) I2C 總線協(xié)議制定的串行總線。 6 圖 AD7
點(diǎn)擊復(fù)制文檔內(nèi)容
高考資料相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1