freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的gps的時鐘同步畢業(yè)設(shè)計(jì)(參考版)

2025-07-30 04:32本頁面
  

【正文】 32bit register * 3 signal s_time_reg_2 : std_logic_vector(31 downto 0)。 signal s_data_ram_65 : std_logic_vector(7 downto 0):=00000000。 signal s_data_ram_63 : std_logic_vector(7 downto 0):=00000000。year month day signal s_data_ram_61 : std_logic_vector(7 downto 0):=00000000。 signal s_data_ram_16 : std_logic_vector(7 downto 0):=00000000。 signal s_data_ram_13 : std_logic_vector(7 downto 0):=00000000。 signal s_data_ram_11 : std_logic_vector(7 downto 0):=00000000。utc time except for ma signal s_data_ram_9 : std_logic_vector(7 downto 0):=00000000。 signal s_data_ram_6 : std_logic_vector(7 downto 0):=00000000。 signal s_data_ram_4 : std_logic_vector(7 downto 0):=00000000。 head_data 16 signal s_data_ram_2 : std_logic_vector(7 downto 0):=00000000。end entity gps_time。 pod_time_output_3 : out std_logic_vector(31 downto 0)。 pod_time_output_1 : out std_logic_vector(31 downto 0)。 pic_data_biaozhi : in std_logic。*********************************entity gps_time isport( clk : in std_logic。use 。大學(xué)四年一晃而過,在即將畢業(yè)之際,再次感謝母校對我的培養(yǎng),感謝老師和同學(xué)們在學(xué)習(xí)和生活中對我的無私幫助!參考文獻(xiàn)[1] 朱正偉.EDA技術(shù)及應(yīng)用【M】.清華大學(xué)出版社,2005 [2] 潘松.VHDL使用教程【M】.西安電子科技大學(xué)出版社,2000[3] 付家才.EDA原理與應(yīng)用【M】.化工工業(yè)出版社,2001[4] 李征航,黃勁松.GPS測量與應(yīng)用【M】.武漢大學(xué)出版社,2005[5] 李天文.GPS原理及應(yīng)用【M】.科學(xué)出版社,2003[6] 黃丁發(fā),熊永良等.GPS衛(wèi)星導(dǎo)航定位技術(shù)與方法【M】.科學(xué)出版社,2009[7] 邊少峰,李文魁.衛(wèi)星導(dǎo)航系統(tǒng)概論【M】.電子工業(yè)出版社,2005[8] 褚振勇,齊亮等.FPGA設(shè)計(jì)及應(yīng)用【M】.西安電子科技大學(xué)出版社,2006[9] 陳耀和.VHDL 語言設(shè)計(jì)技術(shù)【M】.電子工業(yè)出版社,2004[10] 楊剛,龍海燕.VHDL與數(shù)字系統(tǒng)設(shè)計(jì)【M】.電子工業(yè)出版社,2004[11] 胡振華.VHDL與FPGA設(shè)計(jì)【M】.中國鐵道出版社,2003[12] 鄭金吾,朱興昌.GPS接收機(jī)與PC機(jī)串行通信技術(shù)的開發(fā)與應(yīng)用【J】.測控技術(shù),2000[13] 潘松,黃繼業(yè).EDA技術(shù)與VHDL【M】.清華大學(xué)出版社,2006[14] 焦素敏.EDA應(yīng)用技術(shù)【M】.清華大學(xué)出版社,2008[15] 劉大茂.智能儀器原理與設(shè)計(jì)【M】.國防工業(yè)出版社,2008[16] Santarini, Michael.FPGAs balance lower power, smaller nodes drip by drip Mitsubishi electric, 2006附 錄基于GPS的標(biāo)準(zhǔn)定時系統(tǒng)程序gas_time模塊library ieee。設(shè)計(jì)中也得到了很多同學(xué)的幫助,感謝那些在設(shè)計(jì)過程中為我提供參閱資料及為我解決疑難問題的同學(xué)們的同學(xué),謝謝你們!同時也感謝學(xué)校為我們提供了良好的環(huán)境和資源幫助我們順利完成畢業(yè)設(shè)計(jì)。這幾個月以來,黃老師不僅在學(xué)業(yè)上給我以精心指導(dǎo),同時還在思想給我以無微不至的關(guān)懷,在此謹(jǐn)向姜老師致以誠摯的謝意和崇高的敬意。本次設(shè)計(jì)是在姜凱老師的悉心指導(dǎo)下完成的。由于設(shè)計(jì)的需要,我了解了FPGA芯片、GPS技術(shù)、VHDL語言等很多專業(yè)知識,鍛煉了我的自學(xué)能力和靈活運(yùn)用知識的能力。致 謝經(jīng)過兩個月的努力,我終于順利完成了本次畢業(yè)設(shè)計(jì)論文。本次設(shè)計(jì)的系統(tǒng)也存在著缺陷,例如,時間精度雖然比普通時鐘高,但仍無法滿足時間精度要求特別高的場合,現(xiàn)在的某些專業(yè)授時系統(tǒng)精度已達(dá)到納秒級;設(shè)計(jì)中沒有考慮平滑失步問題等?;贕PS的標(biāo)準(zhǔn)定時系統(tǒng)通俗地說就是GPS時鐘系統(tǒng),在生活中已得到廣泛的應(yīng)用??? 結(jié)本次設(shè)計(jì)的基于GPS的標(biāo)準(zhǔn)定時系統(tǒng)采用VHDL語言設(shè)計(jì)相關(guān)功能化模塊,實(shí)現(xiàn)了秒分時發(fā)生電路,日月年越界判斷功能,完成了UTC時間向北京時間的轉(zhuǎn)換,并用QuartusⅡ軟件進(jìn)行時序仿真,驗(yàn)證了設(shè)計(jì)性能的可行性與可靠性,完成了本次設(shè)計(jì)的基本要求。年月的越界判斷同日的越界判斷類似,不再贅述。 pod_bj_time_1(7 downto 0) = conv_std_logic_vector(conv_integer(pid_utc_time_1(7 downto 0)) + 1 , 8)。 pod_bj_time_2(31 downto 16)= pid_utc_time_2(31 downto 16)。t crossover pod_bj_time_1(15 downto 8) = conv_std_logic_vector((conv_integer(pid_utc_time_1(15 downto 8)) + conv_integer(s_offset_reg)),8)。程序分析如下。程序見附錄。 end process bbb。 end if。 then if (s_data_ram_6=00100100 and s_data_ram_5=01000111 and s_data_ram_4=01010000 and s_data_ram_3=01010010 and s_data_ram_2=01001101 and s_data_ram_1=01000011) then test $GPRMC s_head_biaozhi= 39。event and clk=39。039。139。039。 程序分析 s_to_para模塊時序仿真圖圖41 s_to_para模塊仿真 gas_time模塊頭文件的判斷: 當(dāng)clk、s_head_clear均為0,即復(fù)位無效時,判斷頭文件是否為$GPRMC,若是,則將頭文件標(biāo)志位置1。其他衛(wèi)星信息將在下一序列的NMEA0183語句中輸出。Global Positioning System Fix Data(GGA)GPS定位信息$GPGGA,1,2,3,4,5,6,7,8,9,M,10,M,11,12*hhCRLF1 UTC時間,hhmmss(時分秒)格式2 (度分)格式(前面的0也將被傳輸)3 緯度半球N(北半球)或S(南半球)4 (度分)格式(前面的0也將被傳輸)5 經(jīng)度半球E(東經(jīng))或W(西經(jīng))6 GPS狀態(tài):0=未定位,1=非差分定位,2=差分定位,6=正在估算7 正在使用解算位置的衛(wèi)星數(shù)量(00~12)(前面的0也將被傳輸)8 HDOP水平精度因子(~)9 海拔高度(~)10 地球橢球面相對大地水準(zhǔn)面的高度11 差分時間(從最近一次接收到差分信號開始的秒數(shù),如果不是差分定位將為空)12 差分站ID號0000~1023(前面的0也將被傳輸,如果不是差分定位將為空)GPS DOP and Active Satellites(GSA)當(dāng)前衛(wèi)星信息$GPGSA,1,2,3,3,3,3,3,3,3,3,3,3,3,3,4,5,6*hhCRLF1 模式,M=手動,A=自動2 定位類型,1=沒有定位,2=2D定位,3=3D定位3 PRN碼(偽隨機(jī)噪聲碼),正在用于解算位置的衛(wèi)星號(01~32,前面的0也將被傳輸)。NMEA0183標(biāo)準(zhǔn)應(yīng)用于GPS方面時,數(shù)據(jù)串以“$GP”開頭,常用語句有GPGGA(GPS定位信息),GPGSA(當(dāng)前衛(wèi)星信息),GPGSV(可見衛(wèi)星信息),GPRMC(推薦定位信息),GPGLL(定位地理信息),GPVTG(地面速度信息)等。GPS和微機(jī)之間的通信屬于DTE。下面是幾個常用的會話識別ID:(1) GP:Global Positioning Symtem receiver,GPS全球定位系統(tǒng);(2) LC:LoranC,羅蘭C無線電導(dǎo)航系統(tǒng);(3) II:Integrated Instrumentation集成設(shè)備;(4) OM:Omega Navigation receiver,歐米伽導(dǎo)航系統(tǒng)。語句結(jié)束的checksum由一個“*”和兩個數(shù)據(jù)位的十六進(jìn)制數(shù)組成。每行語句最多包括82個字符(包括回車換行和“$”符號)。NMEA0183格式定義1)NMEA0183格式數(shù)據(jù)串定義NMEA0183格式數(shù)據(jù)串的所有字符均為ASCII文本字符。這一標(biāo)準(zhǔn)在兼容NMEA0180和NMEA0182的基礎(chǔ)上,增加了GPS、測深儀、羅經(jīng)方位系統(tǒng)等多種設(shè)備接口和通訊協(xié)議定義,同時還允許一些特定廠商對其設(shè)備通信自定協(xié)議。只是在設(shè)備通信格式上有簡單格式和復(fù)雜格式之分。1980年制定了最早的有關(guān)海洋電子設(shè)備通信接口和協(xié)議的標(biāo)準(zhǔn),即NMEA0180標(biāo)準(zhǔn)。美國國家海洋電子協(xié)會成立于20世紀(jì)50年代,總部在美國北卡羅來納州。NMEA0183數(shù)據(jù)標(biāo)準(zhǔn)解決了不同品牌、不同型號的GPS接收機(jī)之間實(shí)現(xiàn)任意接口問題。北京時間的計(jì)算方法為:UTC + (+0800) = 北京時間紐約時間的計(jì)算方法為:UTC + (0500) = 紐約時間綜上,由UTC時間轉(zhuǎn)換成北京時間,須將UTC時間加八。 可以采用以下公式計(jì)算本地時間:UTC + 時區(qū)差 = 本地時間 時區(qū)差東為正,西為負(fù)。本初子午線被定義在通過那里的經(jīng)線。 時間轉(zhuǎn)換整個地球分為二十四時區(qū),每個時區(qū)都有自己的本地時間。 ms,考慮到電離層折射的影響,在一個站臺上接收世界各國的信號,其誤差將不會超過177。目前,幾乎所有國家時號的發(fā)播,均以UTC時間為準(zhǔn)。具體日期由國際地球自轉(zhuǎn)服務(wù)確定并通告。便在協(xié)調(diào)時中引入1閏秒(正或負(fù))。協(xié)調(diào)世界時的秒長,嚴(yán)格地等于原子時的秒長,采用閏秒(或跳秒)的辦法,使協(xié)調(diào)時與世界時的時刻相接近。但是,由于地球自轉(zhuǎn)速度長期變慢的趨勢,近20年來,世界時每年比原子時約慢一秒,兩者之差逐年累積。本次設(shè)計(jì)中的鎖相環(huán)模塊如下所示。因?yàn)樗墓ぷ鬟^程是一個自動頻率(相位)調(diào)整的閉合環(huán)路,所以叫環(huán)。這些DDS芯片的時鐘頻率從幾十兆赫茲到幾百兆赫茲不等,芯片從一般功能到集成有D/A轉(zhuǎn)換器和正交調(diào)制器。時鐘頻率給定后,輸出信號的頻率取決于頻率控制字,頻率分辨率取決于累加器位數(shù),相位分辨率取決于ROM的地址線位數(shù),幅度量化噪聲取決于ROM的數(shù)據(jù)位字長和D/A轉(zhuǎn)換器位數(shù)。   直接數(shù)字頻率合成(DDS—Digital Direct Frequency Synthesis)技術(shù)是一種新的頻率合成方法,是頻率合成技術(shù)的一次革命,JOSEPH TIERNEY等3人于1971年提出了直接數(shù)字頻率合成的思想,但由于受當(dāng)時微電子技術(shù)和數(shù)字信號處理技術(shù)的限制,DDS技術(shù)沒有受到足夠重視,隨著電子工程領(lǐng)域的實(shí)際需要以及數(shù)字集成電路和微電子技術(shù)的發(fā)展,DDS技術(shù)日益顯露出它的優(yōu)越性。維持鎖定的直流控制電壓由鑒相器提供,因此鑒相器的兩個輸入信號間留有一定的相位差。Ud 中的噪聲和干擾成分被低通性質(zhì)的環(huán)路濾波器濾除,形成壓控振蕩器(VCO)的控制電壓Uc。   鎖相環(huán)由鑒相器、環(huán)路濾波器和壓控振蕩器組成。 基本時鐘發(fā)生模塊基本時鐘發(fā)生模塊用到的是鎖相環(huán) (phaselocked loop) 簡稱pll模塊。圖38 時間轉(zhuǎn)換模塊 模塊接口 in : clk, clr, utc_time_1, utc_time_2, utc_time_3,valid_flag,offset_time。時間轉(zhuǎn)換模塊設(shè)計(jì)框圖如下所示。 時間轉(zhuǎn)換模塊時間轉(zhuǎn)換模塊time_manage,將UTC時間轉(zhuǎn)換為北京時間并輸出。圖36 GPS數(shù)據(jù)處理模塊模塊接口: in :
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1