freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

畢業(yè)設(shè)計基于fpga的電機(jī)控制(參考版)

2025-06-23 13:10本頁面
  

【正文】 end Behavioral。u2:step_top port map (clk=clk,add=adds,sub=subs,sel=sels,step=stepout,rst=rsts, shift=shifts,data_led=data_leds,startstop=startstops)。clk=clock。 end if。rst5=rst。 else t:=700000。rst5=39。sel1=39。039。139。 elsif t500000 then t:=t+1。rst5=39。sel1=39。139。039。 elsif t300000 then t:=t+1。rst5=39。sel1=39。139。039。begin if rising_edge(clock) then if t100000 then t:=t+1。end process。 when others=null。 shift=shifts。rsts=rst5。subs=sub。139。139。139。139。139。039。data_led=data_ledp。139。139。139。139。139。startstopp=startstop。selp=sel。=addp=add。process(sel1,add,sub,sel,rst5,startstop,shiftp,data_ledp,shifts,data_leds) 按鍵/顯示切換模塊begin case sel1 is when 39。 end if。signal data_ledp,data_leds:std_logic_vector(7 downto 0)。signal shift15,rst5,clk:std_logic。signal key,addp,subp,selp,rstp,adds,subs,sels,sel1,sel2,rsts:std_logic。 keyo:out std_logic )。end ponent pwms。 shift:out std_logic_vector(3 downto 0)。ponent pwms is Port (clk,rst,add,sub,set,startstop:in std_logic。 data_led:out std_logic_vector(7 downto 0) )。 step:out std_logic_vector(3 downto 0)。步進(jìn)電機(jī)控制脈沖end top。 七段數(shù)碼管輸出 pwmout:out std_logic_vector(1 downto 0)。 系統(tǒng)時鐘 shift:out std_logic_vector(3 downto 0)。entity top isPort (rst,add,sub,sel,shift1,startstop:in std_logic。 Unment the following lines to use the declarations that are provided for instantiating Xilinx primitive ponents.library UNISIM。use 。/**/頂層控制模塊library IEEE。keyo=diff。diff=d0 and not d1。 end if。 then d1=d0。event and clk=39。differetial:block signal d1,d0:std_logic。 ndly=s nor dly。 end process。 end if。 s=d0 and d1。 then d1=d0。 then if clkk=39。event and clk=39。debunce:blocksignal d0,d1,s,r:std_logic。 end if。039。 else t:=t+1。 clkk=39。139。begin if clk39。architecture Behavioral of anjianqd issignal clkk,dly,ndly,diff:std_logic。系統(tǒng)時鐘/輸入按鍵 keyo:out std_logic )。use 。use 。use 。end Behavioral。 end case。9 when others=data_led=11111111。7 when1000=data_led=10000000。5 when0110=data_led=10000010。3 when0100=data_led=10011001。1 when0010=data_led=10100100。process (data_ledin) 譯碼 begin case data_ledin is when0000=data_led=11000000。 end if。 shift=1111。 t:=00。 when 11=shift=1110。 data_ledin=d2(7 downto 4)。 t:=t+1。 when 01=shift=1011。 data_ledin=1111。process(clkk) 位選信號variable t:std_logic_vector(1 downto 0):=00。 end if。 if conv_integer(d1(11 downto 8))*100+conv_integer(d1(7 downto 4))*10+conv_integer(d1(3 downto 0))=conv_integer(con) then d2=d1。 end if。 else d1(11 downto 8)=0000。 else d1(7 downto 4)=0000。 else d1(3 downto 0)=0000。end process。 end if。t:=0。beginprocess(clk) 位選掃描信號產(chǎn)生模塊variable t:integer range 0 to 79999:=0。039。architecture Behavioral of disp issignal d1,d2:std_logic_vector(11 downto 0)。 七段數(shù)碼管 shift:out std_logic_vector(3 downto 0) )。 占空比輸入 clk:in std_logic。use 。use 。use 。end Behavioral。 end if。139。039。 end if。 then if t100 then t:=t+1。event and clkk=39。139。 then t:=0000000。beginif rst=39。end process。 end if。t:=1。139。begin if clk39。039。 PWM脈沖輸出end pwm。 系統(tǒng)時鐘/復(fù)位 con:in std_logic_vector(6 downto 0)。use 。use 。use 。 end Behavioral。con=con1。 end if。 then if con1=1 then con1:=con11。 elsif sub=39。 then if con1=99 then con1:=con1+1。 then if add=39。event and clkk=39。 then con1:=0000000。begin if rst=39。end process。 end if。t:=0。139。 elsif clk39。039。039。beginprocess(clk,rst) 按鍵檢測脈沖產(chǎn)生模塊variable t:integer range 0 to 1499999。占空比計數(shù)結(jié)果輸出end anjian。entity anjian is Port (clk,add,sub,rst:in std_logic。 Unment the following lines to use the declarations that are provided for instantiating Xilinx primitive ponents.library UNISIM。use 。/**/按鍵控制模塊library IEEE。 end process。039。039。yf=yin and y2。=y=39。 when 39。yf=39。039。 end if。039。 end process。 end if。139。 else t:=32000000。y2=39。 then t:=0。begin if key=39。architecture Behavioral of ztsdpwm issignal y2,y1,yf1:std_logic。 正轉(zhuǎn)/反轉(zhuǎn)輸出 yin:in std_logic )。entity ztsdpwm is Port (clk,key:in std_logic。 Unment the following lines to use the declarations that are provided for instantiating Xilinx primitive ponents.library UNISIM。use 。伺服電機(jī)部分/**/換向模塊library IEEE。end process。 when others=null。=ss=39。 when 39。=ss=39。 case t is when 39。 elsif rising_edge(startstop1) then t:=not t。 then t:=39。begin if rst=39。step(0)=step2(0) and ss。step(2)=step2(2) and ss。step2(0)=step1(0) and y1。step2(2)=step1(2) and y1。u6:anjianqd port map (clk=clk,key=startstop,keyo=startstop1)。u4:ztsd port map (clk=clk,key=sel1,y=y1,sel=sel2,rst=rst)。u2:bianpin port map (clk=clk,sub=sub,add=add,count=count
點(diǎn)擊復(fù)制文檔內(nèi)容
范文總結(jié)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1