freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda的多路彩燈控制器設(shè)計(jì)(參考版)

2025-06-29 15:40本頁(yè)面
  

【正文】 我是幸運(yùn)而幸福的,我知足并且義無(wú)反顧的在大家的關(guān)愛(ài)下堅(jiān)持自己的信念和理想一路前行。當(dāng)然,還有指導(dǎo)老師的大力指導(dǎo),更是讓我感受在高壓的工作下團(tuán)隊(duì)合作的力量,以及工作被認(rèn)可和受到贊賞的成就感。小組同學(xué)的互幫互助和深厚友誼更是賜予了我鉆研知識(shí)不可磨滅的記憶。尊敬的指導(dǎo)老師們,無(wú)論是為人還是為學(xué)都是我生活上和學(xué)術(shù)上的引路人,感激之情無(wú)以言表,只能在日后的學(xué)習(xí)中踏實(shí)做人、勤奮做事,做出一番成績(jī)來(lái)回報(bào)他對(duì)我的恩惠。當(dāng)我完成了這次計(jì)算機(jī)課程設(shè)計(jì)的時(shí)候,有一種如釋重負(fù)的感覺(jué),在經(jīng)歷了設(shè)計(jì)方案的焦灼、寫(xiě)論文的煎熬之后,感覺(jué)好像整個(gè)人都充滿(mǎn)了自我會(huì)更加努力的。致謝在本文的撰寫(xiě)過(guò)程中,老師吳銳給予了悉心的指導(dǎo)和關(guān)心,使我克服了眾多困難終于完成了本次計(jì)算機(jī)課程設(shè)計(jì)的工作。電子技術(shù)課程設(shè)計(jì)是配合電子技術(shù)基礎(chǔ)課程與實(shí)驗(yàn)教學(xué)的一個(gè)非常重要的教學(xué)環(huán)節(jié)。應(yīng)充分利用VHDL“自頂向下”的設(shè)計(jì)優(yōu)點(diǎn)以及層次化的設(shè)計(jì)概念,層次概念對(duì)于設(shè)計(jì)復(fù)雜的數(shù)字系統(tǒng)是非常有用的,它使得我們可以從簡(jiǎn)單的單元入手,逐漸構(gòu)成龐大而復(fù)雜的系統(tǒng)。它不但能鞏固我們已所學(xué)的電子技術(shù)的理論知識(shí),而且能提高我們的電子電路的設(shè)計(jì)水平,還能加強(qiáng)我們綜合分析問(wèn)題和解決問(wèn)題的能力,進(jìn)一步培養(yǎng)我們的實(shí)驗(yàn)技能和動(dòng)手能力,啟發(fā)我們的創(chuàng)新意識(shí)及創(chuàng)新思維。通過(guò)使用EDA編程既方便有快捷的實(shí)現(xiàn)了程序本次設(shè)計(jì)的程序已經(jīng)在硬件系統(tǒng)上得到了驗(yàn)證 ,實(shí)驗(yàn)表明 ,此設(shè)計(jì)方法能夠滿(mǎn)足多種不同花樣彩燈的變化要求 ,并且該方法便于擴(kuò)展不同變化模式的彩燈花樣。應(yīng)充分利用VHDL“自頂向下”的設(shè)計(jì)優(yōu)點(diǎn)以及層次化的設(shè)計(jì)概念,層次概念對(duì)于設(shè)計(jì)復(fù)雜的數(shù)字系統(tǒng)是非常有用的,它使得我們可以從簡(jiǎn)單的單元入手,逐漸構(gòu)成龐大而復(fù)雜的系統(tǒng)用VHDL進(jìn)行設(shè)計(jì),首先應(yīng)該理解,VHDL語(yǔ)言是一種全方位硬件描述語(yǔ)言,包括系統(tǒng)行為級(jí),寄存器傳輸級(jí)和邏輯門(mén)級(jí)多個(gè)設(shè)計(jì)層次。起生成模塊如圖所示其仿真圖如下所示模塊的設(shè)計(jì)使得程序得以實(shí)現(xiàn),對(duì)于程序的理解和對(duì)模塊的設(shè)計(jì)緊密的聯(lián)系起來(lái)利用EDA技術(shù)方便快捷的實(shí)現(xiàn)了設(shè)計(jì)。 例化時(shí)序控制模塊 u2:xs port map(clk_tmp,clr,led)。signal clk_tmp: std_logic。 led: out std_logic_vector(7 downto 0))。 ponent xs is 定義元件:顯示電路port( clk: in std_logic。 clkout: out std_logic)。 clr: in std_logic。 八路彩燈輸出end cotop。 opt:in std_logic。entity cotop isport ( clk: in std_logic。生成模塊如下從max plus軟件生成模塊中可以直接選擇生成器件其組成如圖所示library ieee。 輸出分頻后的信號(hào)end rtl。end process。 end if。139。 clk_tmp=not clk_tmp。 end if。 else counter=counter+39。 then 四分頻,快節(jié)奏 if counter=01 then counter=00。 then if opt=39。event and clk=39。 counter=00。 then 清零 clk_tmp=39。 定義計(jì)數(shù)器begin process(clk,clr,opt)begin if clr=39。architecture rtl of kz issignal clk_tmp: std_logic。 快慢控制信號(hào) clkout: out std_logic 輸出時(shí)鐘信號(hào) )。 時(shí)鐘信號(hào) clr: in std_logic。use 。生成模塊library ieee。由時(shí)序仿真圖可知:花型按S1S6六種狀態(tài)循環(huán)變換,S0為初始狀態(tài)。clk為時(shí)鐘信號(hào)輸入端。end rtl。end if。 led=00010001。 led=00110011。 led=11001100。 led=10001000。 led=10101010。 led=01010101。 then 狀態(tài)機(jī)狀態(tài)之間的轉(zhuǎn)換 case state is when s0= state=s1。event and clk=39。 led=00000000。139。signal state: states。 LED燈輸出 end xs。 輸入時(shí)鐘信號(hào) clr: in std_logic。use 。花型將從S1狀態(tài)開(kāi)始循環(huán)變化。其六種花型的變化如下表: 花型狀態(tài)ZZZZZZZZ010101011010101010001000110011000011001100010001花型數(shù)S0S1S2S3S4S5S6六種花型為S1S6,且連續(xù)循環(huán)變化。clk為時(shí)鐘信號(hào)輸入端。3.多路彩燈的設(shè)計(jì)過(guò)程通過(guò)VHDL語(yǔ)言對(duì)花型控制即演示電路模塊經(jīng)行編寫(xiě),并封裝成塊。S2S1S0S6S3S5S4與其它硬件設(shè)計(jì)方法相比,用VHDL進(jìn)行工程設(shè)計(jì)的優(yōu)點(diǎn)是多方面的:具有很強(qiáng)的行為描述能力,支持大規(guī)模設(shè)計(jì)的分解和已有設(shè)計(jì)的再利用,可讀性好,易于修改和發(fā)現(xiàn)錯(cuò)誤,可以使用仿真器對(duì)VHDL源代碼進(jìn)行仿真允許設(shè)計(jì)者不依賴(lài)于器件,容易發(fā)現(xiàn)設(shè)計(jì)中出現(xiàn)的問(wèn)題,以便及時(shí)處理。循環(huán)彩燈控制子電路模塊主要采用狀態(tài)機(jī)來(lái)實(shí)現(xiàn),用狀態(tài)機(jī)來(lái)設(shè)計(jì)靈活性很強(qiáng)。clk分頻模塊二選一模塊花環(huán)循環(huán)控制模塊輸出[7,0]clropt圖為彩燈控制器總體框圖2.3設(shè)計(jì)細(xì)節(jié)循環(huán)彩燈控制子電路模塊是8 路彩燈電路的核心部分。以下是它的方框圖。設(shè)置一個(gè)8路的多路彩燈控制器,要求彩燈控制器可以產(chǎn)生六中不同的花環(huán);花環(huán)可以自動(dòng)變化,循環(huán)往復(fù);彩燈變化快慢可以選擇。 作為EDA的多路彩燈控制器設(shè)計(jì)中,以材料優(yōu)良、發(fā)光亮度好、性?xún)r(jià)比好作為首先選來(lái)定義研究材料的先進(jìn)性。隨著時(shí)間推移,LED燈具價(jià)格還有下調(diào)空間。重要的測(cè)光公式;R,光強(qiáng)度[cd]=立體角內(nèi)的光通量 立體角Ω[sr]E,照度[lx]=落在某面積上的光通量[lm] 此被照面積[m2]=光強(qiáng)度[cd](距離[m])2L,灰度[cd m2]= 光強(qiáng)度[cd] 所見(jiàn)的被照面面積[m2]發(fā)光效率[lmW]=所產(chǎn)生的光通量[lm] 消耗電功率[W]以40W白熾燈泡為例,零售價(jià)大約是2元;相同亮度的熒光管節(jié)能燈標(biāo)示5W(實(shí)際大約是7W),零售價(jià)是8元左右;相同亮度的大功率LED燈實(shí)際只要3W(LED指向性較高),目前零售價(jià)是35元左右。光色(Light color):一個(gè)燈的光色可以簡(jiǎn)單的以色溫來(lái)表示。標(biāo)準(zhǔn)黑體的溫度
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1