freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda樂曲播放電路課程設(shè)計報告(參考版)

2025-01-20 13:27本頁面
  

【正文】 。頂層文件的設(shè)計,真正地理解了元件例化,端口映射,例化語句的應(yīng)用,以及信號作為各個元件間的連線的作用,信號與變量的區(qū)別。在這次設(shè)計中,通過各模塊程序的設(shè)計,對EDA的語言的設(shè)計使用,語法的掌握,有了進一步的提高。由于這次的課程設(shè)計選擇了這一題目,所以花了很大的時間去理解演奏電路的發(fā)音原理,音符的頻率,不僅對掌握了電路原理,也學習到了相關(guān)的樂理知識。 四. 總體電路圖(RTL電路圖)五 .課程設(shè)計總結(jié) 經(jīng)過一個星期的努力,終于把EDA的課程設(shè)計完成了。u7:speakera port map(clk=clk12MHZ,tone=J,spks=spkout)。u5:choice port map (a=a1,Q1=E,Q2=F,Q3=G,b=b1,INDEX=H)。u3:shengrikuaile port map (address=A,clock=clk8HZ,q=F)。beginu1:notetabs port map(clk=clk8HZ,counter=A)。signal J:std_logic_vector (10 downto 0)。signal B,C,D:std_logic。 spks : out std_logic)。 ponent speakera is port ( clk : in std_logic。 tone:out std_logic_vector(10 downto 0))。 code: out std_logic_vector (4 downto 0)。 END ponent。 Q2:IN STD_LOGIC_vector(4 downto 0)。 INDEX :OUT STD_LOGIC_vector(4 downto 0)。 ponent choice IS PORT(a: IN STD_LOGIC_vector(3 downto 0)。 q : OUT STD_LOGIC_VECTOR (4 DOWNTO 0))。 ponent lianzu IS PORT ( address : IN STD_LOGIC_VECTOR (7 DOWNTO 0)。 q : OUT STD_LOGIC_VECTOR (4 DOWNTO 0))。 ponent shengrikuaile IS PORT ( address : IN STD_LOGIC_VECTOR (7 DOWNTO 0)。 q : OUT STD_LOGIC_VECTOR (4 DOWNTO 0) )。 ponent yinxingdechiban IS PORT ( address : IN STD_LOGIC_VECTOR (7 DOWNTO 0)。 counter:inout std_logic_vector(7 downto 0))。end。 b1:OUT std_logic_vector(3 downto 0)。 high1:out std_logic。 clk8HZ:in std_logic。use 。 6. 頂層文件的設(shè)計: library ieee。 138:12。 136:13。 134:14。 132:14。 130:15。 128:15。 126:15。 124:15。 122:12。 120: 10。 118: 8。 116: 8。 114: 8。 112: 8。 110: 8。 108: 9。 106:10。 104:12。 102:13。 100:15。 98:15。 96: 8。 94: 8。 92: 8。 90: 8。 88: 8。 86:9。 84:10。 82:15。 80:13。 78:12。 76:13。 74:15。 72:15。 70:15。 68:15。 66:15。 64:15。 62:12。 60: 10。 58: 9。 56: 9。 54: 9。 52: 9。 50: 8。 48:9。 46:10。 44:12。 42:13。 40:15。 38:15。 36: 8。 34: 8。 32: 8。 30: 8。 28: 8。 26: 9。 24:10。 22:15。 20:13。 18:12。 16:13。 14:15。 12:15。 10:15。 08:15。 06:15。 04:15。 02: 12。 CONTENT BEGIN 00: 10。 ADDRESS_RADIX=DEC。 (3)《隱形的翅膀》WIDTH=5。138: 0。136: 0。134: 0。132: 0。131: 0。129: 0。 127: 0。 125: 0。 123: 5。 121: 5。 119: 5。 117: 5。 115: 5。 113: 5。 111: 8。 109: 6。 107: 8。 105: 9。 103:10。 101:12。 99:12。 97:12。 95: 8。 93: 8。 91: 8。 89: 8。 87: 9。 85: 9。 83:10。 81:10。 79:13。 77:12。 75:12。 73:12。 71:10。 69: 9。 67: 9。 65: 9。 63: 9。 61: 9。 59: 9。 57: 9。 55:10。
點擊復制文檔內(nèi)容
教學課件相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1