freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda課程設(shè)計(jì)--乒乓球游戲電路設(shè)計(jì)(雙打)(參考版)

2025-01-19 04:54本頁面
  

【正文】 end m。end case。 如果5位二進(jìn)制碼不在0到21范圍內(nèi),那么兩個(gè)七段譯碼器都顯示0when others=bcdout1=1101101。when10101=bcdout1=1101101。 把20到21的5位二進(jìn)制碼轉(zhuǎn)換成七段譯碼when10100=bcdout1=1101101。when10011=bcdout1=0110000。when10010=bcdout1=0110000。when10001=bcdout1=0110000。when10000=bcdout1=0110000。when01111=bcdout1=0110000。when01110=bcdout1=0110000。when01101=bcdout1=0110000。when01100=bcdout1=0110000。when01011=bcdout1=0110000。把10到19的5位二進(jìn)制碼轉(zhuǎn)換成七段譯碼when01010=bcdout1=0110000。when01001=bcdout1=1111110。when01000=bcdout1=1111110。when00111=bcdout1=1111110。when00110=bcdout1=1111110。when00101=bcdout1=1111110。when00100=bcdout1=1111110。when00011=bcdout1=1111110。when00010=bcdout1=1111110。when00001=bcdout1=1111110。case tembinaryin is 把0到9的5位二進(jìn)制碼轉(zhuǎn)換成七段譯碼when00000=bcdout1=1111110。architecture m of mydecoder issignal tembinaryin:std_logic_vector(1 to 5)。 七段譯碼器輸出端口 bcdout2 : out std_logic_vector(1 to 7))。 entity mydecoder isport(binaryin : in std_logic_vector(1 to 5)。use 。數(shù)碼管:library ieee。light=10000000 when i=1 else 01000000 when i=2 else 00100000 when i=3 else 00010000 when i=4 else 00001000 when i=5 else 00000100 when i=6 else 00000010 when i=7 else 00000001 when i=8 else 00000000。end if。end case。state=waitserve。else count1=count1+1。state=ballmoveto2。139。b=1。) and (b=0) then i=7。when allow24hit= if (hit2 =39。state=waitserve。else count2=count2+1。state=ballmoveto2。139。a=1。) then i=2。) or (hit3=39。when allow13hit= if (hit1=39。else i=i+1。elsif i=7 then i=8。count1=count1+1。139。139。end if。state=allow13hit。state=waitserve。) then i=0。) or ( hit3=39。 when ballmoveto1= if (hit1=39。elsestate=ballmoveto1。count2=count2+1。139。139。when light8on= 進(jìn)程處于第八盞燈亮狀態(tài)i=7。 elsestate=ballmoveto2。count1=count1+1。139。139。when light1on= 進(jìn)程處于第一盞燈亮狀態(tài)i=2。when others= i=0。when (10) = i=8。else case state iswhen waitserve= 進(jìn)程處于等待發(fā)球狀態(tài)case serve iswhen (01) = i=1。count1=00000。039。count2=00000。 then 當(dāng)處于時(shí)鐘inclock上升沿時(shí) if count1=10101or count2=10101theni=0。event and clk=39。b=0。count2=00000。 then 異步置位i=0。 begin process(reset,hit1,hit2,hit3,hit4,clk,a,b,i)begin 進(jìn)程開始if reset=39。 signal a,b: integer range 0 to 1。architecture one of pingponggame istype pingponggame is (waitserve, light1on, ballmoveto2, allow24hit,light8on,ballmoveto1,allow13hit)。 light:out std_logic_vector(1 to 8))。 serve:in std_logic_vector( 1 to 2 )。entity pingponggame is port(clk,reset :in std_logic。use 。end 。u2 :mydecoder port map(binaryin=d,bcdout1=score11,bcdout2=score12)。signal d,e:std_logic_vector(4 downto 0)。 七段譯碼器輸出端口 bcdout2 : out std_logic_vector(1 to 7))。 ponent mydecoder port(binaryin : in std_logic_vector(1 to 5)。 count1,count2 : buffer std_logic_vector(1 to 5))。 serve:in std_logic_vector( 1 to 2 )。architecture game of ying is ponent pingponggame port(clk,reset :in std_logic。 score11,score12,sc
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1