freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的電梯設(shè)計(jì)-wenkub.com

2024-11-30 12:59 本頁(yè)面
   

【正文】 139。039。139。opendoor=39。opendoor=39。opendoor=39。then d33=39。then if d33=39。139。opendoor=39。opendoor=39。opendoor=39。then d22=39。 elsif d22=39。139。139。039。139。139。 elsif g2=39。039。139。039。139。 if g1=39。 else q1=q1+1。down=39。then q1=3。q1=0。139。 else q1=q1+1。up=39。then q1=3。q1=0。139。039。then door=10。 if q2=3 then wahaha=39。 end if。139。039。139。 begin : process (clk) begin if clk39。 signal dd,cc_u,cc_d,dd_cc:std_logic_vector(5 downto 0)。 signal c_d22,c_d33,c_d44,c_d55,c_d66:std_logic。 up, down: out std_logic)。 led_c_d: out std_logic_vector(5 downto 0)。 g1,g2,g3,g4,g5,g6:in std_logic。 full,deng,quick,clr:in std_logic。 use 。在學(xué)習(xí)中 ,孫 老師嚴(yán)謹(jǐn)?shù)闹螌W(xué)態(tài)度、豐富淵博的知識(shí)、敏銳的學(xué)術(shù)思維、精益求精的工作態(tài)度以及侮人不倦的師者風(fēng)范是我終生學(xué)習(xí)的楷模, 老 師們的高深精湛的造詣與嚴(yán)謹(jǐn)求實(shí)的治學(xué)精神,將 永遠(yuǎn)激勵(lì)著我。畢業(yè)設(shè)計(jì)的完成也帶表我大學(xué)生活的結(jié)束,三年的生活有苦有甜,讓人回味。在設(shè)計(jì)的過(guò)程中我深深的體會(huì)到, VHDL 語(yǔ)言實(shí)在是一個(gè)很好用的硬件描述語(yǔ)言。這主要是由于各個(gè)功能實(shí)現(xiàn)時(shí)會(huì)有時(shí)延,這在仿真時(shí)是反映不出來(lái)的。但將各個(gè)功能模塊綜合在一起之后就需要理清它們的時(shí)序,才能夠共用數(shù)據(jù)總線,使其互不干擾地工作。 用 VHDL 硬件描述語(yǔ)言的形式來(lái)進(jìn)行數(shù)字系統(tǒng)的設(shè)計(jì)方便靈活,利用EDA 軟件進(jìn)行編譯優(yōu)化仿真極大的減少了電路設(shè)計(jì)時(shí)間和可能發(fā)生的錯(cuò)誤,降低了開(kāi)發(fā)成本。 3 電梯接收到提前關(guān)門(mén)信號(hào) quick, 電梯跳過(guò)關(guān)門(mén)等待時(shí)間,仿真圖 q1從 1 跳到 3;進(jìn)入關(guān)門(mén)狀態(tài)。 3 電梯上升到四樓時(shí),響應(yīng)請(qǐng)求( c_u4),開(kāi)門(mén)載客;進(jìn)入預(yù)備上升狀態(tài)。039。c_d44amp。c_u22amp。amp。d22amp。 預(yù)備上升、預(yù)備下降預(yù)操作使能信號(hào) 控制器的邏輯判斷: d66amp。 看門(mén)狗計(jì)數(shù)器 signal dd,cc_u,cc_d,dd_cc:std_logic_vector(9 downto 0)。 電梯運(yùn)動(dòng)方向顯示,超載警告信號(hào) up,down : out std_logic )。 電梯外人上升請(qǐng)求信號(hào)顯示 led_c_d:out std_logic_vector(9 downto 0)。 電梯內(nèi)人的請(qǐng)求信號(hào) g1,g2,g3,g4,g5,g6 : in std_logic。 時(shí)鐘信號(hào)(頻率為 2Hz) full,deng,quick,clr : in std_logic。 use 。如果電梯沒(méi)有接收到請(qǐng)求信號(hào),電梯則返回一樓待機(jī)。如果電梯沒(méi)有接收到請(qǐng)求信號(hào),電梯則在一樓待機(jī)。這時(shí)的請(qǐng)求信號(hào)就是上升請(qǐng)求信號(hào)。出于這方面的考慮,本設(shè)計(jì)把電梯的請(qǐng)求信號(hào)劃分為上升請(qǐng)求和下降請(qǐng)求。例如可使用兩個(gè)進(jìn)程來(lái)描述, — 個(gè)進(jìn)程描述時(shí)序邏輯,包括狀態(tài)寄存器的工作和寄存器狀態(tài)的輸出,另一個(gè)進(jìn)程描述組合邏輯,包括進(jìn)程間狀態(tài)值的傳遞邏輯以及狀態(tài)轉(zhuǎn)換值的輸出。狀態(tài)機(jī)隨外部時(shí)鐘信號(hào)以同步方式工作,當(dāng)時(shí)鐘的有效跳變到來(lái)時(shí),時(shí)序進(jìn)程將代表次態(tài)的信號(hào) next_state 中的內(nèi)容送入現(xiàn)態(tài)信號(hào) current_state 中,而next_state 中的內(nèi)容完全由其他進(jìn)程根據(jù)實(shí)際情況而定,此進(jìn)程中往往也包括一些清零或置位的控制信號(hào)。 狀態(tài)機(jī)的一般組成: 1) 說(shuō)明部分 說(shuō)明部分中使用 TYPE 語(yǔ)句定義新的數(shù)據(jù)類(lèi)型,此數(shù)據(jù)類(lèi)型為枚舉型,其元素通常都用狀態(tài)機(jī)的狀態(tài)名來(lái)定義。 建立有限狀態(tài)機(jī)主要有兩種方法:狀態(tài)轉(zhuǎn)移圖(狀態(tài)圖)和狀態(tài)轉(zhuǎn)移表(狀態(tài)表)。有限狀態(tài)機(jī)的全部“歷史”都反映在當(dāng)前狀態(tài)上。用輸入信號(hào)決定下一狀態(tài)也稱(chēng)為“轉(zhuǎn)移”。 組合邏輯 圖 狀態(tài)機(jī)的基本結(jié)構(gòu) 狀態(tài)機(jī)的基本操作有兩種: 1) .狀態(tài)機(jī)的內(nèi)部狀態(tài)轉(zhuǎn)換。狀態(tài)機(jī)寄存器的下一個(gè)狀態(tài)及輸出,不僅同輸入信號(hào)有關(guān),而且還與寄存器的當(dāng)前狀態(tài)有關(guān),狀態(tài)機(jī)可以認(rèn)為是組合邏輯和寄存器邏輯的特殊組合。建立有限狀態(tài)機(jī)主要有兩種方法:“狀態(tài)轉(zhuǎn)移圖”和“狀態(tài)轉(zhuǎn) 移表”??梢詫㈦娞莸却拿?秒鐘以及開(kāi)門(mén),關(guān)門(mén)都看成一個(gè)獨(dú)立的狀態(tài)。 靜態(tài)顯示驅(qū)動(dòng):靜態(tài)驅(qū)動(dòng)也稱(chēng)直流驅(qū)動(dòng)。共陰數(shù)碼管是指將所有發(fā)光二極管的陰極接到一起形成公共陰極 (COM)的數(shù)碼管。 數(shù)碼管按段數(shù)分為七段數(shù)碼管和八段數(shù)碼管,八段數(shù)碼管比七段數(shù)碼管多一個(gè)發(fā)光二極管單元(多一個(gè)小數(shù)點(diǎn)顯示);按能顯示多少個(gè)“8” 可分為 1 位、 2 位、 4 位等等數(shù)碼管;按發(fā)光二極管單元連接方式分為共陽(yáng)極數(shù)碼管和共陰極數(shù)碼管。發(fā)光源的引腳為輸入端,受光器的引腳為輸出端,常見(jiàn)的發(fā)光源為發(fā)光二極管,受光器為光敏二極管、光敏三極管等等。輸出端需要一個(gè)至少 10uF的鉭電容來(lái)改善瞬態(tài)響應(yīng)和穩(wěn)定性。 LM1117 提供電流限制和熱保護(hù)。其壓差在 輸出,負(fù)載電流為 800mA 時(shí)為 。根據(jù)要求,選擇 78 AC集成 三端穩(wěn)壓器可滿足要求。 對(duì)所需要計(jì)算的參數(shù)逐一進(jìn)行計(jì)算: (1)確定電容 C1, C2 因?yàn)樵谑褂萌朔€(wěn)壓器時(shí),為了保證穩(wěn)壓性能,輸入端和輸出端間電位差至少應(yīng)在 2V 以上,當(dāng)然也不能太大,以減少器件功耗和避免器件損壞,一般對(duì)輸出電壓不大于 18V 的穩(wěn)壓器,輸入電壓應(yīng)小于 35V。但這樣的電壓還隨電網(wǎng)電壓波動(dòng) (一般有177。濾波電路的作用就是在降低整流后輸出電壓中的脈動(dòng)成分的同時(shí),盡量保持其中的直流成分。 5V 和 12V 電源設(shè)計(jì) 直流穩(wěn)壓電源的工作電路,傳統(tǒng)方式采用分立元件構(gòu)成,目前均采用集成三端穩(wěn)壓器 7805, 7812 構(gòu)成。 所以整個(gè)器件只要更換 EPROM 中的配置信息就可以更換功能,其靈活性是不言而喻的。 JTAG 接口是一個(gè)業(yè)界標(biāo)準(zhǔn) ,主要用于芯片測(cè)試等功能 ,使用 IEEE Std 聯(lián)合邊界掃描接口引腳,支持 JAM STAPL 標(biāo)準(zhǔn),可以使用 Altera下載電纜或主控器來(lái)完成。配置數(shù)據(jù)被同步在 DCLK輸入上, 1個(gè)時(shí)鐘周期傳送 1 位數(shù)據(jù)。 INIT_DONE I/O 腳或漏極開(kāi)路的輸出腳。 CONF_DONE 配置結(jié)束信號(hào)。下載鏈上最后一個(gè)器件的 nCEO 懸空。 nCSO( I/O) FPGA 片選信號(hào)輸出,連接到配置器件的 nCS 管腳。 下表為 Altera 器件性能對(duì)照表: 綜合考慮 器件的資源是否滿足設(shè)計(jì)的需要 , 芯片速度 , CPLD 的封裝 ,所以 選用 FLEX6000 系列的 元件 選用 FLEX6000 系列管腳 I/O 數(shù)目滿足應(yīng)用所需的用戶(hù) I/O 口數(shù)目,并且價(jià)格相 對(duì)低廉 ,低功耗。這是因?yàn)槠骷母咚傩阅茉胶茫鋵?duì)外界微小毛刺信號(hào)的反應(yīng)靈敏度也越好,如果電路處理不當(dāng),或者編程前的配置選擇不當(dāng),極易使系統(tǒng)處于不穩(wěn)定的工作狀態(tài)。因此應(yīng)適當(dāng)?shù)墓罍y(cè)一下功能資源以確定使用什么樣的器件。假如電梯處于向上運(yùn)動(dòng)狀態(tài),初始位置是底層,初始請(qǐng)求是 6樓, 2 樓時(shí)進(jìn)入一人,如果他的目的地也是 6 樓,他看到初始請(qǐng)求是 6 樓,就可以不再按鍵。 電機(jī)的控制信號(hào)一般需要兩位,本系統(tǒng)中電機(jī)有 3 種工作狀態(tài): 正轉(zhuǎn)、反轉(zhuǎn)和停轉(zhuǎn)狀態(tài)。一般情況下,電梯工 作起始點(diǎn)是第一層,起始狀態(tài)是等待狀態(tài),啟動(dòng)條件是收到上升請(qǐng)求。 電梯控制器的請(qǐng)求輸入信號(hào)有 16 個(gè)(電梯外有 5 個(gè)上升請(qǐng)求和 5 個(gè)下降請(qǐng)求的用戶(hù)輸入斷口,電梯內(nèi)有 6個(gè)請(qǐng)求用戶(hù)輸入斷口),由于系統(tǒng)對(duì)內(nèi)、外請(qǐng)求沒(méi)有設(shè)置優(yōu)先級(jí),各樓層的 內(nèi)、外請(qǐng)求信號(hào)被采集后可先進(jìn)行運(yùn)算,再存到存儲(chǔ)器內(nèi)。 控制器采用 FPGA 作為系統(tǒng)控制的核心,系統(tǒng)時(shí)鐘頻率是 ,完全可以滿 足實(shí)時(shí)采集數(shù)據(jù)的要求。但是使用 VHDL 硬件描述語(yǔ)言設(shè)計(jì)電 梯控制器可以為以后電梯實(shí)現(xiàn)智能控制奠定基礎(chǔ)。這種運(yùn)作方式下,電梯對(duì)用戶(hù)的請(qǐng)求響應(yīng)率為 100%,且響應(yīng)的時(shí)間較短。對(duì)用戶(hù)而言,此種控制方式的請(qǐng)求響應(yīng)時(shí)間也不是很快。 在內(nèi)部請(qǐng)求優(yōu)先控制方式中,當(dāng)電梯外部人的請(qǐng)求和電梯內(nèi)部人狀態(tài)請(qǐng)求沖突時(shí),外部人的請(qǐng)求信號(hào)可能被長(zhǎng)時(shí)間忽略,因而它不能作為 通用型電梯的設(shè)計(jì)方案。 其具體的流程圖如 下 圖 所示。 VHDL 語(yǔ)言的基本術(shù)語(yǔ)往往能體現(xiàn)其嚴(yán)密的邏輯結(jié)構(gòu): VHDL 語(yǔ)言的基本術(shù)語(yǔ)包括進(jìn)程( process)、類(lèi)屬( generic)、屬性( attribute)以及驅(qū)動(dòng)( drive)。實(shí)體說(shuō)明中還可以說(shuō)明數(shù)據(jù)類(lèi)型、子程序和常量等數(shù)據(jù)信息,實(shí)體語(yǔ)句常用于描述設(shè)計(jì)常用到的判斷和檢查信息。近幾年來(lái),硬件描述語(yǔ)言等設(shè)計(jì)數(shù)據(jù)格式的逐步標(biāo)準(zhǔn)化,不同設(shè)計(jì)風(fēng)格和應(yīng)用的要求導(dǎo)致各具特色的 EDA 工具被集成在同一個(gè)工作站上,從而使 EDA 框架日趨標(biāo)準(zhǔn)化。 目前, 在 我國(guó)國(guó)產(chǎn)電梯大部分為繼電器及 PLC 控制方式,繼電器控制系統(tǒng)性能不穩(wěn)定、故障率高,大大降低了電梯的舒適性、可靠性和安全性,經(jīng)常造成停梯,給乘用人員的生活和工作帶來(lái)了很多不便, 因而傳統(tǒng)的電梯控制 系統(tǒng) ( PLC) 的更新勢(shì)在必行 。 PLC 的特點(diǎn)可綜述如下: ( 1)高可靠性 ( 2)編程簡(jiǎn)單,使用方便(可采用梯形圖編程方式,與實(shí)際繼電器控制電路非常接近,一般電氣工作者很容易接受) ( 3)環(huán)境要求低(適用 于惡劣的工業(yè)環(huán)境)( 4)體積小,重量輕 ( 5)擴(kuò)充方便 。它采用一種可編程的存儲(chǔ)器,在其內(nèi)部存儲(chǔ)執(zhí)行邏輯運(yùn)算、順序控制、定時(shí)、計(jì)數(shù)和算術(shù)運(yùn)算等操作的指令,通過(guò)數(shù)字式或模擬式的輸入輸出來(lái)控制各種類(lèi)型的機(jī)械設(shè)備或生產(chǎn)過(guò)程。 3 總體方案 選擇 電梯的微機(jī)化控制主要有以下幾種形式: 1 PLC 控制; 2 單板機(jī)控制;3 單片機(jī)控制; 4 單微機(jī)控制; 5 多微機(jī)控制; 6 人工智能控制。結(jié)合其并行工作方式,在超高速應(yīng)用領(lǐng)域和實(shí)時(shí)測(cè)控方面有著非常廣闊的應(yīng)用前景。 Xilinx 公司的 FPGA器件有 XC202 XC3000、 XC4000、 XC4000E、 XC4000XLA、 XC5200 系列等,可用門(mén)數(shù)為 1200~ 18000; Altera 公司的 CPLD 器件有 FLEX6000、 FLEX8000、FLEX10K、 FLEX10KE 系列等,提供門(mén)數(shù)為 5000~ 25000; Lattice 公司的 ISP– PLD 器件有 ispLSI1000、 ispLSI202 ispLSI3000、 ispLSI6000 系列等,集成度可多達(dá) 25 000 個(gè) PLD 等效門(mén)。 5)為了使用一個(gè) VHDL 源程序文件能適應(yīng)各 EDA 開(kāi)發(fā)軟件上的使用要求,建議各個(gè)源程序文件的命名均與實(shí)體名一致。 2) VHDL 的編譯器和綜合器對(duì)程序文字的大小寫(xiě)是不加區(qū)分的。符合市場(chǎng)需求的大規(guī)模系統(tǒng)高效,高速的完成必須有多人甚至多個(gè)代發(fā)組共同并行工作才能實(shí)現(xiàn) 。 1)與其他的硬件描述語(yǔ)言相比, VHDL 具有更強(qiáng)的行為描述能力,從而決定了他成為系統(tǒng)設(shè)計(jì)領(lǐng)域最佳的硬件描述語(yǔ)言。 VHDL 的優(yōu)點(diǎn) VHDL 的程序結(jié)構(gòu)特點(diǎn)是將一項(xiàng)工程設(shè)計(jì),或稱(chēng)設(shè)計(jì)實(shí)體(可以是一個(gè)元件,一個(gè)電路模塊或一個(gè)系統(tǒng))分成外部(或稱(chēng)可是部分 ,及端口 )和內(nèi)部(或 稱(chēng)不 可視部分),既涉及實(shí)體的內(nèi)部功能和算法完成部分。自 IEEE 公布了 VHDL 的標(biāo)準(zhǔn)版本 (IEEE 1076)之后, 數(shù) 個(gè) EDA 公司相繼推出了自己的 VHDL 設(shè)計(jì)環(huán)境,或宣布自己的設(shè)計(jì)工具可以和 VHDL 接口。 VHDL 語(yǔ)言 概述 VHDL 簡(jiǎn)介 硬件描述語(yǔ)言是 EDA 技術(shù)的重要組成部分 ,VHDL 是電子設(shè)計(jì)的主流硬件描述語(yǔ)言。設(shè)計(jì)者可以利用 HDL 程序來(lái)描述所希望的電路系統(tǒng),規(guī)定其結(jié)構(gòu)性和電路的行為方式;然后利用 EDA 工具將此程序變成能控制場(chǎng)效應(yīng)可編程門(mén)陣列( Field Programmable Gate Array) /復(fù)雜可編程邏輯器件( Complex Programmable Logic Device)內(nèi)部結(jié)構(gòu)并實(shí)現(xiàn)相應(yīng)邏輯功能的門(mén)級(jí)或更底層的結(jié)構(gòu)網(wǎng)表文件和下載文件。隨著超大規(guī)模集成電路( Very Large Scale Integration)規(guī)模和技術(shù)復(fù)雜度的急劇增長(zhǎng),一塊芯片內(nèi)集成 門(mén)已可達(dá)幾十萬(wàn)甚至幾百萬(wàn)門(mén),并且還在迅速增長(zhǎng),電子系統(tǒng)的人工設(shè)計(jì)已十分困難,必需依靠電子設(shè)計(jì)自動(dòng)化技術(shù)。硬件描述語(yǔ)言是 EDA 技術(shù)的重要組成部分, VHDL 是作為電子設(shè)計(jì)主流硬件的描述語(yǔ)言。 6)電梯有超載提示。 2)顯示電梯當(dāng)前所處位置和電梯上行、下行及開(kāi)門(mén)、關(guān)門(mén)狀態(tài)。 電梯作為高層建筑物的重要交通工具與人們的工作和生活日益緊密聯(lián)系。而 FPGA/C
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1