freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的自動(dòng)售貨機(jī)的設(shè)計(jì)-wenkub.com

2024-11-06 02:56 本頁面
   

【正文】 u9:code26 port map(d=s6,q=Mout_lcd1)。 u5:code22 port map(d=s2,q=paid_lcd1)。 u1:code11 port map(b=p,bcd0=s1,bcd1=s2)。 end ponent。 q:out std_logic_vector(6 downto 0))。 ponent code24 port(d:in std_logic_vector(3 downto 0)。 end ponent。 q:out std_logic_vector(6 downto 0))。 bcd0:out std_logic_vector(3 downto 0)。 bcd0:out std_logic_vector(3 downto 0)。 bcd0:out std_logic_vector(3 downto 0)。 showmoneyout:out std_logic。 paid:out std_logic_vector(3 downto 0)。 coin1:in std_logic。 paid_lcd0,paid_lcd1,needed_lcd0,needed_lcd1,Mout_lcd0,Mout_lcd1:out std_logic_vector(6 downto 0)。 use 。 end process。 自動(dòng)售貨機(jī)的設(shè)計(jì)與實(shí)現(xiàn) 25 when1000=q=1111111。 when0100=q=0110011。 architecture one of code2 is begin process(d) begin case d is when0000=q=1111110。 use 。 end process。 when1111=bcd0=0101。 自動(dòng)售貨機(jī)的設(shè)計(jì)與實(shí)現(xiàn) 24 when1101=bcd0=0011。 when1011=bcd0=0001。 when1001=bcd0=1001。 when0111=bcd0=0111。 when0101=bcd0=0101。 when0011=bcd0=0011。 when0001=bcd0=0001。 bcd1:out std_logic_vector(3 downto 0) )。 use 。 end if。 else current_state=qa。 end if。139。moneyout=paidtemp。 when qg= failure=39。 else current_state=qg。139。 paid=paidtemp。 else neededtemp:=pricetemppaidtemp。 end if。 then if coin1=39。 when qc= if coin1=39。 if q4 then q=q+1。 q=0。 paid=paidtemp。 neededtemp:=neededtemp+2。 then q=0。 if price2=39。 paid=paidtemp。backmoney:=0000。 if paidtemp=pricetemp then backmoney:=paidtemppricetemp。139。139。 end if。 neededtemp:=pricetemp。 then current_state=qb。 if price2=39。failure=39。039。 backmoney:=0000。event and clk=39。 variable neededtemp: std_logic_vector(3 downto 0)。 architecture behav of shouhuojioriginal is type state_type is (qa,qb,qe,qc,qg,qd,qf)。 failure:out std_logic。 price3:in std_logic。 entity shouhuojioriginal is port( clk: in std_logic。 答謝 :在完成本系統(tǒng)的設(shè)計(jì)過程中,本人得到老師的 悉心指導(dǎo)和王堯君同學(xué)的幫助,在此深表謝意。各模塊完成后,將它們組合成完整的自動(dòng)售貨機(jī)系統(tǒng)。由此可見,系統(tǒng)的各功能實(shí)現(xiàn)成功。 TOP 文件的仿真 自動(dòng)售貨機(jī)的設(shè)計(jì)與實(shí)現(xiàn) 18 圖 12 TOP文件的仿真 如圖 12 所示:先選擇一個(gè) 3元的商品, 10秒內(nèi)再選擇一個(gè) 2元的商品;此后,在一定時(shí)間內(nèi),先后投入一元和 5元,顯示交易成功,并找 零一元。源程序見附錄的二進(jìn)制譯碼模塊。 showmoneyout為高電平,表示要退幣, moneyout 顯示 1元,即退幣 1元;延時(shí)顯示后,回到初始狀態(tài)。圖 8 顯示連續(xù)交易。源程序見附錄的主控模塊。源程序見附錄的主控模塊。源程序見附錄的主控模塊。當(dāng)有貨幣投入,但投幣不夠時(shí),即進(jìn)入 c 狀態(tài)(繼續(xù)投幣),若等待十秒不投,即進(jìn)入 g 狀態(tài)(退錢),若投幣但還不夠,則繼續(xù)投幣。最右邊的 6個(gè)模塊是 BCD 譯碼模塊,輸出端口連接 6個(gè) LCD 七段顯示數(shù)碼管。輸入端 d 是一個(gè) 4位的 BCD碼,輸出端 q是一個(gè) 7位數(shù),輸出連接到一個(gè) LCD 數(shù)碼管以顯示出來。輸入端 b 是一個(gè) 4位的二進(jìn)制數(shù),輸出端 bcd0、 bcd1,是兩個(gè) 4位的 BCD 碼。 總控模塊:總控模塊是本系統(tǒng)最重要的模塊,該模塊大體有 5個(gè)輸入端口和 6個(gè)輸出端口。若投幣夠,則出貨找零。 流程說明 本文設(shè)計(jì)的自動(dòng)售貨機(jī)當(dāng)通電時(shí),表示一次投幣銷售過程的開始。 2 自動(dòng)售貨機(jī)的設(shè)計(jì) 設(shè)計(jì)說明 本文設(shè)計(jì)的自動(dòng)售貨機(jī) [4]可銷售牛奶 (2元 )、果汁 (3元 )兩種商品;售貨機(jī)可識(shí)別 1元和5元兩種貨幣 ,在一次購(gòu)買過程中,可購(gòu)買一個(gè)或多個(gè)商品,系統(tǒng)會(huì)自動(dòng)計(jì)算所需錢數(shù)和找零錢數(shù)并自動(dòng)找零。 如何獲得幫助 : 最直接的幫助來自于 Max+plusⅡ的 Help 菜單。 Check) (6)指定管腳 (Max+plusⅡ/Floorplan Editor) (7)保存和編譯源文件 (File/project/Save amp。 (3)、仿真:仿真包括功能仿真、時(shí)序仿真和定時(shí)分 析,可以利用軟件的仿真功能來驗(yàn)證設(shè)計(jì)項(xiàng)目的邏輯功能是否正確。 Max+plusⅡ設(shè)計(jì)過程 設(shè)計(jì)流程:使用 Max+plusⅡ軟件設(shè)計(jì)流程由以下幾部分組成。 多器件劃分 (Partitioner):如果設(shè)計(jì)不能完全裝入一個(gè)器件,編譯器中的多器件劃分模塊,可自動(dòng)的將一 個(gè)設(shè)計(jì)分成幾個(gè)部分并分別裝入幾個(gè)器件中,并保證器件之間的連線最少。 自動(dòng)錯(cuò)誤定位 :在編譯源文件的過程中,若源文件有錯(cuò)誤, Max+Plus2軟件可以自動(dòng)指出錯(cuò)誤類型和錯(cuò)誤所在的位置。 硬件描述語言輸入( Text Editor) : MAX+PLUSII 軟件中有一個(gè)集成的 文本編輯器 ,該編輯器支持 VHDL,AHDL 和 Verilog 硬件描述語言的輸入 ,同時(shí)還有一個(gè)語言模板使輸入程序語言更加方便 ,該軟件可以對(duì)這些程序語言進(jìn)行編譯并形成可以下載配置數(shù)據(jù)。 模塊化工具 : 設(shè)計(jì)人員可以從各種設(shè)計(jì)輸入、處理和較驗(yàn)選項(xiàng)中進(jìn)行選擇從而使設(shè)計(jì)自動(dòng)售貨機(jī)的設(shè)計(jì)與實(shí)現(xiàn) 8 環(huán)境用戶化。 Max+plusⅡ開發(fā)系統(tǒng)的特點(diǎn) 開放的界面 : Max+plusⅡ 支持與 Cadence, Exemplarlogic, Mentor Graphics,Synplicty, Viewlogic 和其它公司所提供的 EDA工具接口。所有在 BEGIN 和 END list statement之間的申明被稱為并列的申明,因?yàn)樗械纳昝魍瑫r(shí)執(zhí)行。例如,一個(gè)構(gòu)造可能是一個(gè)行為描述,另一個(gè)可能是結(jié)構(gòu)性的描述。 關(guān)鍵字 ARCHITECTURE 本聲明描述了一個(gè)實(shí)體的構(gòu)架。計(jì)數(shù)設(shè)備的構(gòu)造體更早的像這樣描述: ARCHITECTURE dataflow OF mux ISSIGNAL select : INTEGER。比起這里顯示的信息,實(shí)體可以存放更多的信息,但是這為我們提供了建立更復(fù)雜例子的基礎(chǔ)。這兩個(gè)多路選擇輸入是s0 和 s1,也都是位寬類型。用戶創(chuàng)建像復(fù)用一樣的姓名,在上面的例子中,將以小寫顯示。 END mux。所有設(shè)計(jì)創(chuàng)建使用一個(gè)或多個(gè)實(shí)體。如果說實(shí)體是系統(tǒng)和外部交流的橋梁,那么構(gòu)造體就是處理內(nèi)外交流的信號(hào)加工廠。 進(jìn)程:一個(gè)進(jìn)程是執(zhí)行的 VHDL 中的一個(gè)基本單位。 屬性:一個(gè)關(guān)于 VHDL 對(duì)象連接到 VHDL 的物體或預(yù)先確定的數(shù)據(jù)的屬性數(shù)據(jù)。 除了以上介紹的各種 術(shù)語,其它術(shù)語還有: 驅(qū)動(dòng)程序:這是一個(gè)信號(hào)上的一個(gè)源。想想包含使用的工具建立的設(shè)計(jì)的一個(gè)工具箱作為一個(gè)包。一個(gè)配置可以被視為像一個(gè)零件清單進(jìn)行設(shè)計(jì)。一個(gè)單一的實(shí)體可以有多個(gè)構(gòu)造體。如果設(shè)計(jì)分層次,那么最高層的描述將有低層描述的說明附在它里面。前 4種是可以分別編譯的源設(shè)計(jì)單元。之后 IEEE 對(duì) 87 版本進(jìn)行了修訂,于 1933 年推出了較為完善的 93 版本(被定為 ANSI/IEEE std 10761993 標(biāo)準(zhǔn)),使得 VHDL 語言的編程更加靈活方便。它是以 ADA 語言為根源,就像將被看到的整體結(jié)構(gòu)的 VHDL 和其他的 VHDL 報(bào)表。這種將設(shè)計(jì)實(shí)體分成內(nèi)外部分的概念是 VHDL 系統(tǒng)設(shè)計(jì)的基本點(diǎn)。 VHDL 主要用于描述數(shù)字系統(tǒng)的結(jié)構(gòu)、行為、功能和接口。若某次投幣后金額不
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1