freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的電梯設(shè)計(jì)-免費(fèi)閱讀

2025-01-05 12:59 上一頁面

下一頁面
  

【正文】 039。 end if。 elsif dd_cc000111then en_up=39。or c_u33=39。 end if。 elsif dd_cc000010then en_dw=39。or c_d22=39。039。039。then led=0010010。139。139。 end if。updown=39。139。 elsif q1=3 then door=01。 elsif quick=39。039。 else if opendoor=39。 if q1=3 then door=10。q2=0。 signal updown:std_logic。 architecture behav of dianti is signal d11,d22,d33,d44,d55,d66:std_logic。 led:out std_logic_vector(6 downto 0)。 use 。這里的一草一木都讓我難忘,每一個(gè)角落都寫滿了這三年的喜怒哀樂。 (4)要仔細(xì)研究仿真時(shí)所獲得的波形圖,確定所做的設(shè)計(jì)穩(wěn)定又實(shí)用。 (1)在進(jìn)行設(shè)計(jì)時(shí),最主要的是先設(shè)計(jì)理清時(shí)序。 ( d)電梯控制程序仿真局部放大圖 3 1 電梯到達(dá)三樓時(shí),響應(yīng)請(qǐng)求( c_d3),開門卸客,進(jìn)入預(yù)備下降狀態(tài)。c_d22amp。c_u44amp。d44amp。 控制器中的構(gòu)造體的各個(gè)信號(hào)定義: signal q1:integer range 0 to 6。 電梯門控制信號(hào) led : out std_logic_vector(13 downto 0)。 use 。 處于 六樓時(shí)不管是梯內(nèi)梯外,電梯都只可能接收到下降的請(qǐng)求信號(hào)。使電梯進(jìn)入預(yù)上升狀態(tài)的請(qǐng)求信號(hào)就是上升請(qǐng)求信號(hào)。 4 )輔助進(jìn)程 用于配合狀態(tài)機(jī)工作的組合、時(shí)序進(jìn)程或配合狀態(tài)機(jī)工作的其他時(shí)序進(jìn)程。 狀態(tài)轉(zhuǎn)移圖 圖中每個(gè)橢圓表示狀態(tài)機(jī)的一個(gè)狀態(tài),而箭頭表示狀態(tài)之間的一個(gè)轉(zhuǎn)換,引起轉(zhuǎn)換的輸入信號(hào)及當(dāng)前輸出表示在轉(zhuǎn)換箭頭上。從一個(gè)狀態(tài)轉(zhuǎn)移到另一狀態(tài)稱為控制定序,而決定下一狀態(tài)所需的邏輯稱為轉(zhuǎn)移函數(shù)。組合邏輯部分又可分為狀態(tài)譯碼器和輸出譯碼器,狀態(tài)譯碼器確定狀態(tài)機(jī)的下一個(gè)狀態(tài),即確定狀態(tài)機(jī)的激勵(lì)方程,輸出譯狀態(tài)譯碼器 狀態(tài)寄存器 輸 出譯碼器 碼器確定狀態(tài)機(jī)的輸出,即確定狀態(tài)機(jī) 的輸出方程。 狀態(tài)機(jī)設(shè)計(jì) 介紹 有限狀態(tài)機(jī)( Finite State Machine,簡(jiǎn)稱 FSM)是一類很重要的時(shí)序電路,是許多數(shù)字系統(tǒng)的核心部件,也是實(shí)時(shí)系統(tǒng)設(shè)計(jì)中的一種數(shù)學(xué)模型,是一種重要的、易于建立的、應(yīng)用比較廣泛的、以描述控制特性為主的建模方法,它可以應(yīng)用于從系統(tǒng)分析到設(shè)計(jì)的所有階段。當(dāng)某一字段的陽極為低電平時(shí),相應(yīng)字段就不亮。 在光電耦合器輸入端加電信號(hào)使發(fā)光源發(fā)光,光的強(qiáng)度取決于激勵(lì)電流的大小,此光照射到封裝在一起的受光器 上后,因光電效應(yīng)而產(chǎn)生了光電流,由受光器輸出端引出,這樣就實(shí)現(xiàn)了電一光一電的轉(zhuǎn)換。1% 以內(nèi)。散熱器以叉指型散熱器為佳。因而在全波整流、濾波之后,還需接入集成三端穩(wěn)壓器進(jìn)行穩(wěn)壓,使輸出的直流電壓能穩(wěn)定在 Vo=+5V。 IC 采用集成三端穩(wěn)壓器 7805, 7812 C C2,C C6為輸入端端濾波電容 , C C4,C C8為輸出 端端濾波電容 , 以上第一個(gè)圖為例: 變壓器 T0將交流電網(wǎng) 220V 的電壓 Vl變?yōu)樗枰慕涣麟妷?V2,然后通過全波整流將交流電壓 V2 變 成脈動(dòng)的直流電壓。 該 系列產(chǎn)品的配置信息是存放在芯片內(nèi)的 SRAM 中,當(dāng)?shù)綦姾?,配置信息將全部丟失,所以這些配置信息需要存放在其它 EPROM 中, ALTERA 公司提供了與該系列芯片配套使用的 EPROM。 AS由 FPGA器件引導(dǎo)配置操作過程,它控制著外部存儲(chǔ)器和初始化過程,EPCS 系列 .如 EPCS1,EPCS4 配置器件專供 AS模式,使用 Altera 串行配置器件來完成。 nCNFIG 用戶模式配置起始信號(hào)。 DATA0 FPGA 串行數(shù)據(jù)輸入,連接到配置器件的串行數(shù)據(jù)輸出管腳。速度選擇應(yīng)與所設(shè)計(jì)的系統(tǒng)的最高工作速度保持一致。 系統(tǒng)的顯示輸出包括數(shù)碼管樓層顯示、數(shù)碼管請(qǐng)求信號(hào)顯示和表征運(yùn)動(dòng)方向的箭頭形指示燈的開關(guān)信號(hào)。 . 基于 FPGA 的中央處理模塊 中央數(shù)據(jù)處理模塊是系統(tǒng)的核心,通過對(duì)存儲(chǔ)的數(shù)據(jù)(含請(qǐng)求、到達(dá)樓層等信號(hào))進(jìn)行比較、判斷以驅(qū)動(dòng)系統(tǒng)狀態(tài)的流轉(zhuǎn)。 ( 2)準(zhǔn)確、實(shí)時(shí)的捕捉樓層到達(dá)信號(hào)。 方向優(yōu)先控制是指電梯運(yùn)行到某一樓層時(shí)先考慮這一樓層是否有請(qǐng)求:有,則停止; 無,則繼續(xù)前進(jìn)。作為通用型電梯應(yīng)該服務(wù)于大多數(shù)人,必須考慮 電梯對(duì)內(nèi)、外請(qǐng)求的響應(yīng)率 P: Pin = 100%。結(jié)構(gòu)體能以行為、數(shù)據(jù)流和結(jié)構(gòu)等多種方式描述實(shí)體。 基于 EDA 技術(shù)的電梯控制設(shè)計(jì)方案 EDA 技術(shù)開發(fā)手段多樣,其中應(yīng)用最為廣泛的就是通過程序?qū)τ布M(jìn)行開發(fā),而其中又?jǐn)?shù) VHDL 語言最受設(shè)計(jì)者的歡迎。隨著微電子技術(shù)和計(jì)算機(jī)技術(shù)的迅猛發(fā)展,可編程控制器更多地具有了計(jì)算機(jī)的功能,不僅能實(shí)現(xiàn)邏輯控制,還具有了數(shù)據(jù)處理、通信、網(wǎng)絡(luò)等功能。 FPGA/CPLD 的高可靠性還表現(xiàn)在幾乎可將整個(gè)系統(tǒng)下載于同一芯片中,實(shí)現(xiàn)所謂片上系統(tǒng),從而大大縮小了體積,易于管理和屏蔽。 FPGA 和 CPLD 分別是現(xiàn)場(chǎng)可編程門陣列和復(fù)雜可編程邏輯器件的簡(jiǎn)稱。 4) VHDL 對(duì)設(shè)計(jì)的描述具有相對(duì)獨(dú)立性,設(shè)計(jì)者可以不懂硬件的結(jié)構(gòu),也不必管理最終設(shè)計(jì)實(shí)現(xiàn)的目標(biāo)器件是什么,而進(jìn)行獨(dú)立的設(shè)計(jì)。這種將設(shè)計(jì)實(shí)體分成內(nèi)外部分的概念是 VHDL 系統(tǒng)設(shè)計(jì)的基本點(diǎn)。誕生于 1982 年。在電路描述時(shí)主要采用硬件描述語言( HDL)。 2 設(shè)計(jì)的基礎(chǔ) 現(xiàn)代電子設(shè)計(jì)技術(shù)的核心是 EDA 技術(shù)。 電梯設(shè)計(jì)的要求 1)每層電梯入口處設(shè)有上下請(qǐng)求開關(guān)各 1個(gè),電梯內(nèi)設(shè)有乘客到達(dá)層次的數(shù)字開關(guān)。繼電器控制系統(tǒng)由于故障率高、可靠性差、控制方式不靈活以及消耗功率大等缺點(diǎn),目前已逐漸 被淘汰。 本設(shè)計(jì) 介紹了基于 VHDL 語言設(shè)計(jì)的電梯控制器,并進(jìn)行了電路綜合和仿真。該控制器遵循方向優(yōu)先的原則,提供 6個(gè)樓層多用戶的載客服務(wù)并指示電梯的運(yùn)行情況。微機(jī)控制系統(tǒng)雖在智能控制方面有較強(qiáng)的功能,但也存在抗擾性差,系統(tǒng)設(shè)計(jì)復(fù)雜,一般維修人員難以掌握其維修技術(shù)等缺陷。電梯當(dāng)前所在的樓層位置用 兩 位數(shù)碼管顯示,用 兩 只發(fā)光二極管顯示開門 /關(guān)門狀態(tài) ,用發(fā)光二極管顯示每層的上下請(qǐng)求狀態(tài)。基于 EDA 技術(shù)開發(fā)的實(shí)現(xiàn) 六層電梯自動(dòng)控制與目前主流的利用可編程邏輯控制器實(shí)現(xiàn)電梯控制緊密相連。硬件描述語言是用于設(shè)計(jì)硬件電子系統(tǒng)的計(jì)算機(jī)語言,它描述電子系統(tǒng)的邏輯功能、電路結(jié)構(gòu)和連接方式。 1987 年底, VHDL 被IEEE 和國(guó)美國(guó)國(guó)防部卻認(rèn)為標(biāo)準(zhǔn)硬件描述語言。應(yīng)用 VHDL 進(jìn)行工程設(shè)計(jì)的優(yōu)點(diǎn)是多方面的。 VHDL 語言的開發(fā) 1)語句結(jié)構(gòu)描述中方括號(hào)“ []”內(nèi)的內(nèi)容為可選內(nèi)容。國(guó)際上生產(chǎn) FPGA/CPLD 的主流公司,并且在國(guó)內(nèi)占有市場(chǎng)份額較大的主要是 Xilinx, Altera, Lattice 三家公司。 與 ASIC 設(shè)計(jì)相比, FPGA/CPLD 顯著的優(yōu)勢(shì)是開發(fā)周期短、投資風(fēng)險(xiǎn)小、產(chǎn)品上市速度快、市場(chǎng)適應(yīng)能力強(qiáng)和硬件升級(jí)回旋余地大,而且當(dāng)產(chǎn)品定型和產(chǎn)量擴(kuò)大后,可將在生產(chǎn)中達(dá)到充分檢驗(yàn)的 VHDL 設(shè)計(jì)迅速實(shí)現(xiàn) ASIC投產(chǎn)。由于它可通過軟件來改變控制過程,而且具有體積小、組裝維護(hù)方便、編程簡(jiǎn)單、可靠性高、抗干擾能力強(qiáng)等特點(diǎn),已廣泛應(yīng)用于工業(yè)控制的各個(gè)領(lǐng)域,大大推進(jìn)了機(jī)電一體化的進(jìn)程。 EDA 技術(shù)使得設(shè)計(jì)者的工 作僅限于利用軟件的方式,即利用硬件描述語言和 EDA 軟件來完成對(duì)系統(tǒng)硬件功能的實(shí)現(xiàn)。 VHDL 語言還包括程序包和配 置初級(jí)設(shè)計(jì)單元。 設(shè)計(jì)思想及模塊化 設(shè)計(jì)輸入 設(shè)計(jì)實(shí)現(xiàn) 設(shè)計(jì)仿真 器件編程 系統(tǒng)測(cè)試 Pout = 0100%。停下后再啟動(dòng)時(shí),①考慮前方 —— 上方、或下方是否有請(qǐng)求:有,則繼續(xù)前進(jìn);無,則停止;②檢測(cè)后方是否有請(qǐng)求, 有請(qǐng)求則轉(zhuǎn)向運(yùn)行, 無請(qǐng)求則 維持停止?fàn)顟B(tài)。 ( 3)有效的防止樓層到達(dá)信號(hào)、外部請(qǐng)求信號(hào)的誤判。電梯工作過程中共有9種狀態(tài):等待、上升、下降、開門、關(guān)門、停止、休眠、超載報(bào)警以及故障報(bào)警狀態(tài)。完全可以滿足人們的需要,而且效率比較高 本系統(tǒng)具有請(qǐng)求信號(hào)顯示功能,結(jié)合方向顯示,可以減少用戶對(duì)同一請(qǐng)求的輸入次數(shù),這樣就延長(zhǎng)了電梯按鍵的使用壽命。如果使用了速度過高的芯片將會(huì)加大電路設(shè)計(jì)的難度。 DCLK FPGA 串行時(shí)鐘輸出,為配置器件提供串行時(shí)鐘。 nSTATUS 配置狀態(tài)信號(hào)。配置數(shù)據(jù)通過 DATA0 引腳送入 FPGA。所以對(duì)芯片的編程就是對(duì) EPROM的編程, 芯片開始工作時(shí),進(jìn)入命令狀態(tài),在該狀態(tài)將配置信息從 EPROM 中讀到自己的 SRAM 中,然后進(jìn)入用戶狀態(tài),在用戶狀態(tài)器件就可以按照配置的功能進(jìn)行工作,整個(gè)配置過程全部自動(dòng)進(jìn)行,也可以靠外部邏輯控制進(jìn)行,時(shí)鐘可由器件自己提供,也可由外部時(shí)鐘控制。 通過整流后,電源的脈動(dòng)成分較大。 根據(jù)設(shè)計(jì)要求, 圖 中需要計(jì)算的參數(shù)有: 變壓器副邊繞組的交流電壓有效值 V2,整流元件的參數(shù),電容 C C2 的數(shù)值集成三端穩(wěn)壓器的選用。 1117 是一個(gè)低壓差電壓調(diào)節(jié)器系列。 LM1117 系列具有 LLP、TO26 SOT22 TO220 和 TO252 DPAK 封裝。 樓層顯示 到達(dá)樓層顯示要選用 LED 數(shù)碼管 顯示器 。 數(shù)碼管要正常顯示,就要用驅(qū)動(dòng)電路來驅(qū)動(dòng)數(shù)碼管的各個(gè)段碼,從而顯示出我們要的 數(shù)字,因此根據(jù)數(shù)碼管的驅(qū)動(dòng)方式的不同,可以分為靜態(tài)式和動(dòng)態(tài)式兩類。有限狀態(tài)機(jī)的優(yōu)點(diǎn)在于簡(jiǎn)單易用,狀態(tài)間的關(guān)系清晰直觀。寄存器用于存儲(chǔ)狀態(tài)機(jī)的內(nèi)部狀態(tài)。 在數(shù)字系統(tǒng)中.那些輸出取決于過去的輸入和當(dāng)前的輸入的部分都可以作為有限狀態(tài)機(jī)。 如果能夠?qū)懗?FSM 的狀態(tài)轉(zhuǎn)移圖,就可以使用 VHDL 的狀態(tài)機(jī)語句對(duì)它進(jìn)行描述。在一般狀態(tài)機(jī)的設(shè)計(jì)過程中,為了能獲得可綜合的,高效的 VHDL狀態(tài)機(jī)描述,建議使用枚舉類數(shù)據(jù)類型來定義狀態(tài)機(jī)的狀態(tài),并使用多進(jìn)程方式來描述狀態(tài)機(jī)的內(nèi)部邏輯。具體來說,就是當(dāng)電梯所在樓層低于發(fā)出梯外請(qǐng)求的樓層或者低于梯內(nèi)請(qǐng)求所要到達(dá)的目的樓層時(shí),電梯必須在下一操作中作 出上升運(yùn)行。此時(shí) ,電梯就進(jìn)入預(yù)下降狀態(tài),準(zhǔn)備作下降運(yùn)行。 entity dianti is port ( clk : in std_logic。 電梯所在樓層顯示 led_c_u:out std_logic_vector(9 downto 0)。 關(guān)門延時(shí)計(jì)數(shù)器 signal q2:integer range 0 to 9。d33amp。c_u33amp。39。 2 電梯在預(yù) 備下降狀態(tài)下,電梯應(yīng)超載( full=‘ 1’),發(fā)出超載警報(bào) alarm;超載信號(hào)消失,電梯重新進(jìn)入預(yù)備下降狀態(tài)。在單個(gè)實(shí)現(xiàn)各個(gè)模塊功能時(shí)比較簡(jiǎn)單。 在此次設(shè)計(jì)中,我掌握了一些使用 VHDL 語言編程的基本方法。 在本次論文設(shè)計(jì)過程中, 孫 老師對(duì)該論文從選題,構(gòu)思到最后定稿的各個(gè)環(huán)節(jié)給予細(xì)心指引與教導(dǎo) ,使我得以最終完成畢業(yè)論文設(shè)計(jì)。 entity dianti is port(clk: in std_logic。 led_c_u: out std_logic_vector(5 downto 0)。 signal c_u11,c_u22,c_u33,c_u44,c_u55:std_logic。 signal en_up,en_dw: std_logic。wahaha=39。 else door=00。139。 elsif en_up=39。139。q1=q1+1。 then door=10。039。 end if。then d11=39。opendoor=39。 if updown=39。c_u22=39。 elsif dd_cc000010then en_dw=39。139。139。 elsif g3=39。139。139。 elsif d33=39。opendoor=39。c_d33=39。039。139。139。039。139。139。opendoor=39。then d22=39。139。 elsif dd_cc000001then en_up=39。or c_u11=39。 door=00。 elsif q1=6 then door=00。then if deng=39。139。q2=q2+1。down=39。139。q1=0。then q1=0。 signal opendoor: std_logic。 end dianti。 door:out std_logic_vector(1 downto 0)。 use 。 三 年間, 這個(gè)學(xué)校
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1