freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl的鍵盤掃描電路設(shè)計_畢業(yè)論文設(shè)計-wenkub.com

2025-08-11 14:07 本頁面
   

【正文】 count end smjsq。 entity smjsq is port(clk : in std_logic。 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 27 鍵盤掃描計數(shù)器電路的程序 library ieee。 scan_f =39。 end if。event and clk=39。 clock scan_f : out std_logic。 osc_bit : integer := 12)。北京交通大學(xué)出版社 , [10]徐麗萍 .電子綜合電路設(shè)計與安裝調(diào)試 [M].北京:中國勞動社會保障出版社, 2020. [11]胡振華 . VHDL與 FPGA設(shè)計 [M].北京:中國鐵道出版社 , [12]康華光 .數(shù)字電子技術(shù)基礎(chǔ)(第五版) [M]. 北京: 高等教育出版社, . [13]趙鑫等 .VHDL與數(shù)字電路設(shè)計 [M].北京:機械工業(yè)出版社 , [14]Michael Petronino, Ray Bambha, James Carswell, and Wayne Bvrleson. ANFPGABASED DATA ACQUISITION SYSTEM FOR A, 95 GHZ WBAND of Electrical and Computer Engineering MA 01003: 41054108 [15]東方人華 .MAX+PLUSⅡ入門與提高 [M]. 北京:清華大學(xué)出版社 .. [16]張丕狀,李兆光 .基于 VHDL的 CPLD/FPGA開發(fā)與應(yīng)用 [M].北京:國防工業(yè)出版社,2020:216. [17] Petterson, Michael A. MATRIX KEYBOARD ENCODER CIRCUIT. [18]Michael Petronino, Ray Bambha, James Carswell, and Wayne Bvrleson. ANFPGABASED DATA ACQUISITION SYSTEM FOR A, 95 GHZ WBAND of Electrical and Computer Engineering MA 01003: 41054108 東海科學(xué)技術(shù)學(xué)院本科生畢業(yè)論文 26 附錄 分頻器電路的程序 library ieee。沒有 馮 老師的辛勤栽培、孜孜教誨,就沒有我論文的順利完成。 通過基于 VHDL的鍵盤掃描電路設(shè)計,不但收獲了新的知識,并且多學(xué)了一門編程語言,同時還鞏固了原來都差不多忘記的知識,總體來說收獲還是很大的,在設(shè)計期間,遇到了很多問題,有一段時間都做不下去了,就放下了一段時間沒有動過,導(dǎo) 致的是越來越不想做了,后來在指導(dǎo)老師馮老師的鼓勵下,又重新拿起了這次的畢業(yè)設(shè)計,老師不斷的鼓勵是我前進的動力,我每天都在堅持著做一點再做一點,在期間也遇到了很多的困難,開始還很笨拙,老師說新手怎么可能不出錯,就這樣堅持著,后來就慢慢的熟練了很多,再后來就沒當初的那么難了,在指導(dǎo)老師馮老師的幫助下最后終于順利的完成了這次的畢業(yè)設(shè)計。 f end case。 e when 1100= bianma =1010。 d when 1000= bianma =0111。 c when 0100= bianma =0100。) then case scan_t is encoding when 0000= bianma =0001。event and clk=39。 bianma : out std_logic_vector(3 downto 0))。 use 。 在按鍵抖動消除電路中, key_pressed、 clk和 scan_f為輸入端口,只有一個輸出端口是 key_valid。 key_pressed? clk : in std_logic。 use 。key_pressed0 unkey_pressed1 end jiance。定義的端口程序是 : entity jiance is port( col : in std_logic_vector(3 downto 0)。1khz clock key_pressed : in std_logic。設(shè)定為緩沖模式的端口信號驅(qū)動源是來自被設(shè)計實體的內(nèi)部 或者是來自其他實體設(shè)定為緩沖模式的端口。 1khz 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 19 end fpq100to1。 osc_bit : integer := 7)。 end fpq100to1。 generic (osc_f : integer := 100 。 將 5個子程序整合后的總程序進 行編程并仿真,就可以得到仿真波形,從仿真波形中很清楚的可以看到 輸入所對應(yīng)的輸出值與程序中設(shè)定的是一樣的。 U3:jiance port map (col , scan_t , row , key_pressed )。 bianma : out std_logic_vector(3 downto 0))。 1khz clock key_valid : out std_logic)。 END ponent。 ponent jiance PORT( col : in std_logic_vector(3 downto 0)。 scan_f : in std_logic。 clock scan_f : out std_logic。 signal key_pressed : std_logic。首先,將 分頻成 1khz,此頻率作為鍵盤掃描的頻率。此鍵盤還是比較容易使用的,但是硬件部分還是比較復(fù)雜的,該電路用的是編碼鍵盤。按鍵抖動消除電路符號如圖 : 圖 鍵盤編碼電路 編碼是按下面表格中相對應(yīng)來編碼的,比如“ 0”代表“ 1”,“ 3”代表“”,一一對應(yīng)來編碼的, 詳見如表 : 表 在單片機應(yīng)用系統(tǒng)中,實現(xiàn)數(shù)據(jù)的輸入、傳達命令的功能,是人工干預(yù)的主要手段。 也很方便的得到了按鍵檢測電路的電路符號,該電路一共有 2 個輸入,分別為 col 和scan_t,有 2個輸出端,分別為 row和 key_pressed。運行該電路的程序代碼,其仿 真結(jié)果如圖 : 圖 鍵盤掃描計數(shù)器電路仿真的波形 從圖 ,當沒有按鍵按下時,掃描計數(shù)器是按 1~15 反復(fù)計數(shù)的,比如圖中當使用者按下“ 1”(對應(yīng)的十進制編碼為 14)的按鍵時,就保持這種狀態(tài),當輸入的 scan_f和使能鍵 key_pressed都為高電平時,它又繼續(xù)掃描,當又有按鍵按下時,又保持另一種狀態(tài),仿真波形如圖 : 圖 仿真波形 同時也可以得到掃描計數(shù)器的電路符號,這個電路有 3個輸入端,分別是 clk、 scan_f、key_pressed,有 1 個輸出端,輸出端為 scan_t, Clk是分頻器電路的輸出端。 假設(shè)系統(tǒng)由一個輸出頻率為 晶振提供時鐘信號,則鍵盤掃描電路的輸入時鐘信號 clk 為 。 功放 輸出的音樂訊號必須經(jīng)過分頻器中的過濾波元件處理,讓各單元特定頻率的訊號通過。 鍵盤掃描電路的過程是,在未按下任何按鍵時,一直都保持的是循環(huán)掃描的狀態(tài),一直是 0~15循環(huán),當檢測到有按鍵按下時,停止循環(huán)掃描,編 碼器編出按鍵上的數(shù)值,然后輸出按鍵上的值,因為在按鍵時,會產(chǎn)生一部分抖動,所以要有消抖的過程,之后輸出數(shù)據(jù)。可編程邏輯器件 FPGA能完成任何數(shù)字器件的功能,小至簡單的電子產(chǎn)品、數(shù)字集成電路,大至復(fù)雜又很難設(shè)計的高性能 CPU。 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 7 高密度、編程速度快、設(shè)計靈活 與其他可編程邏輯器件相比較, FPGA屬于高密度 PLD,具有更高的集成度和更強的邏輯實現(xiàn)功能,其集成度可以達到 3萬門 /片以上 ,門延時已小于 3ns。一個是進行設(shè)計的邏輯化簡,再邏輯優(yōu)化,再合并,最后是邏輯分割 [17]。比如,一片 FPGA芯片就可替代幾片甚至幾十片標準器件,其用戶可用 I/O引腳數(shù)目多達數(shù)百條 [16]。 FPGA內(nèi)部邏輯設(shè)計的功能分為掃描模塊,編碼模塊,控制模塊和 FIFO RAM模塊。 FPGA 是用非常小型的查找表法( 16 1RAM)來實現(xiàn)組合邏輯的,每一個查找表都要連接到一個 D觸發(fā)器 的輸入端,觸發(fā)器用來驅(qū)動其他的邏輯電路或是驅(qū)動 I/O,這樣就構(gòu)成了既能實現(xiàn)組合邏輯電路的功能,同時又能實現(xiàn)時序邏輯電路功能的基本 單元 模塊,這些模塊之間都是運用金屬連接線相互連接或是連接到 I/O 模塊上去的。 EDA技術(shù)是一種以計算機為基礎(chǔ)核心的工作平臺,是利用電子技術(shù)、計算機技術(shù)、智能化技術(shù)等多種應(yīng)用學(xué)科的最新成果 [13]。 FPGA( Field- Programmable Gate Array),即現(xiàn)場可編程門陣列,它是在 PAL、 GAL、CPLD等可 編程器 件的基礎(chǔ)上進一 步發(fā)展的產(chǎn)物。 ( 4)硬件描述語言( VHDL) Max+plusⅡ軟件支持大多數(shù) VHDL設(shè)計的輸入選項,包括 Verilog HDL, VHDL和 Altera公司自己開發(fā)的硬件描述語言 AHDL。 波形編輯器窗口如圖 : 圖 東海科學(xué)技術(shù)學(xué)院本科生畢業(yè)論文 5 符號編輯器 (Symbol Editor) 符號編輯器是在文本程序運行成功的基礎(chǔ)上,自動生成的電路符號,該編輯器的 優(yōu)點是在畫電路圖是只要直接拿出來用就可以了,非常的便捷。其易學(xué)、易用、高度集成化的特性使得受到廣大用戶的青睞,正被越來越廣泛的應(yīng)用 [8]。 VHDL 硬件語言是唯一被接納為 IEEE 標準的語言,也就是說它是數(shù)字系統(tǒng)硬件設(shè)計描述的語言中最為標準的語言 [7]。 VHDL已經(jīng)成為一個數(shù)字電路和系統(tǒng)的描述、建模、綜合的工業(yè)國際標準,因此在電子產(chǎn)業(yè)界獲得了廣泛的應(yīng)用 [4]。該課題主要解決以下幾個問題:總體方案設(shè)計與論證,分析控制系統(tǒng)工作原理;選擇合適的 FPGA芯片系統(tǒng)的硬件設(shè)計;用硬件描述語言 VHDL編制系統(tǒng)的軟件及流程圖、并仿真設(shè)計要求。 本課題研究的主要問題 人們依靠鍵盤向計算機輸入各種指令,指揮計算機工作,依靠鍵盤向計算機輸入程序、資料,依靠鍵盤修改、調(diào)試程序,人們甚至可以依靠鍵盤來進行引人入勝的各式游戲。按鍵輸入電路大多數(shù)應(yīng) 用的主要有兩種:一種是非掃描方式可以判斷多鍵狀態(tài),即允許多鍵同時作用,但這種不適用于大量按鍵情況,所需輸入輸出端口 I/O較多。這種非???,并且有用的鍵盤,可搭載應(yīng)用在幾乎任何有藍牙的 Mac、 PC、 iPhone、iPad、 iPod touch,和 Android系統(tǒng)的所有設(shè)備上使用。鍵盤是當前計算機輸入設(shè)備中最主要的輸入設(shè)備,隨著科學(xué)技術(shù)的進步,可能會發(fā)生的是,鍵盤在未來有可能失去計算機主要輸入設(shè)備的地位。 DVORAK鍵盤布局原則是: 盡量左右手交替擊打,避免單手連擊。 后來他就被稱為“打字機之父”。 鍵盤在國內(nèi)外的發(fā)展狀況 早在 1714 年,英、美、法、意、 瑞士 等國家的人相 繼發(fā)明了各種形式的打字機,最早的鍵盤是那個時候應(yīng)用在那些技術(shù)還不成熟的打字機上的。 按鍵作為一種普通的外部輸入設(shè)備,在應(yīng)用中是不可或缺的,它是控制者用來操作或是發(fā)布指令的一個傳媒,是人機交互應(yīng)用的結(jié)合點 [2]。simulation 東??茖W(xué)技術(shù)學(xué)院本科生畢業(yè)論文 III 目錄 第 1 章 緒論 .................................................................................................................. 1 研究的意義和背景 .......................................................................................... 1 鍵盤在國內(nèi)外的發(fā)展狀況 .............................................................................. 1 本課題研究的主要問題 .................................................................................. 2 第 2 章鍵盤掃描電路總體設(shè)計 .................................................................................... 3 設(shè)計工具的簡介 ............................................................................................. 3 硬件描述語言 ....................................
點擊復(fù)制文檔內(nèi)容
法律信息相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1