freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

課程設(shè)計(jì)論文-基于vhdl語言的流水燈設(shè)計(jì)-資料下載頁

2025-08-11 07:17本頁面
  

【正文】 duan=1111111。 end case。 wei=01。 end if。 temp:=temp+1。 end if。 end process。end architecture arch。 功能:按鍵按下之后,蜂鳴器鳴叫延時(shí) 波形仿真:VHDL代碼:library ieee。use 。use 。entity delay is 蜂鳴器延時(shí) port(clk,con:in std_logic。 d_out:out std_logic)。end entity delay。architecture arch of delay issignal num:std_logic_vector(1 downto 0):=00。begin process(clk,con) is begin if con=39。139。 then num=01。 d_out=39。139。 else if clk39。event and clk=39。139。 then if num/=00 then num=num1。 else d_out=39。039。 end if。 end if。 end if。 end process。end architecture arch。五、結(jié)果 為驗(yàn)證所設(shè)計(jì)程序是否正確,將程序下載進(jìn)行硬件測試。在MAX+PLUSⅡ開發(fā)環(huán)境中進(jìn)行管腳鎖定,連接好下載線,然后將目標(biāo)文件下載到器件中。經(jīng)過測試,流水燈樣式一共有4種,第0種樣式為樣式3的綜合,且可以通過按鍵調(diào)節(jié)顯示樣式;通過按鍵能夠調(diào)節(jié)流水燈變化快慢,速度0為最慢速度,而速度3為最快速度;當(dāng)前流水燈樣式和變化速度也能夠通過數(shù)碼管顯示出來;同時(shí)為了突出按鍵效果,按鍵被按下時(shí),蜂鳴器能夠發(fā)出鳴叫聲。六、總結(jié)(包括體會(huì)和制作過程 1000字)經(jīng)過一周的努力終于完成流水燈的設(shè)計(jì),流水燈是由七個(gè)部分組成,其中包括分頻器、速度控制器、樣式選擇器、總控制器、顯示器、按鈕、蜂鳴器組成。由1個(gè)四位數(shù)碼管構(gòu)成的顯示屏可以正常顯示當(dāng)前彩燈樣式和變化速度,并且可以通過按鈕進(jìn)行調(diào)節(jié)彩燈樣式和變化速度,經(jīng)過仿真測試各個(gè)功能鍵工作正常,并且能夠發(fā)出蜂鳴聲,達(dá)到了設(shè)計(jì)要求。 在設(shè)計(jì)過程中,也遇到了許多問題,但通過查閱資料,上網(wǎng)百度,問題都得到了解決。例如:在同一個(gè)進(jìn)程中,如果有兩個(gè)或兩個(gè)以上敏感信號(hào),那么只能使用一個(gè)EVENT事件;在一個(gè)實(shí)體中,如果有兩個(gè)或兩個(gè)以上的進(jìn)程,那么在各自的進(jìn)程中,不能對同一個(gè)信號(hào)進(jìn)行賦值;信號(hào)和變量的區(qū)別在于,信號(hào)在進(jìn)程之外進(jìn)行定義,而變量只能在某個(gè)進(jìn)程中進(jìn)行定義,在多進(jìn)程執(zhí)行的時(shí)候,外部進(jìn)程不能使用本進(jìn)程的變量。還有一個(gè)最為重要的問題就是,需要考慮資源量,一個(gè)芯片中可以下載進(jìn)去的程序大小是有限的,在程序設(shè)計(jì)的時(shí)候盡量少申請變量和信號(hào),對于信號(hào)和變量,位數(shù)能少則少,這樣生成的模塊資源才不會(huì)占用很大。 通過這次EDA試驗(yàn)設(shè)計(jì),我感覺自己設(shè)計(jì)的流水燈功能雖然很簡單,但從中我獲得了許多。至少說又學(xué)會(huì)了一門VHDL語言,學(xué)會(huì)了MAXPLUS II的使用,包括模塊設(shè)計(jì),波形仿真以及原理圖的設(shè)計(jì)……同時(shí),我也深深的體會(huì)到,EDA和單片機(jī)在問題解決上有很多差別,比如:對于按鈕消抖,單片機(jī)一般通過延時(shí)進(jìn)行消抖,而EDA則是通過一個(gè)D觸發(fā)器來進(jìn)行消抖??梢哉fEDA的多進(jìn)程的并發(fā)執(zhí)行是一大優(yōu)勢,對于單片機(jī)來說,只能有一個(gè)主進(jìn)程,通過中斷跳轉(zhuǎn)去執(zhí)行相應(yīng)的部分。 “實(shí)踐是檢驗(yàn)真理的唯一標(biāo)準(zhǔn)”,這句話現(xiàn)在對于我來說真的是深有體會(huì),我越來越相信只有通過自己動(dòng)手實(shí)踐,才能發(fā)現(xiàn)具體問題,才能夠?qū)栴}有深刻的認(rèn)識(shí),最后才能從根本上解決問題。七、參考書(文章)[1] 譚會(huì)生 (第二版). 西安電子科技大學(xué)出版社,2004.[2] (第三版). 高等教育出版社,2006.
點(diǎn)擊復(fù)制文檔內(nèi)容
職業(yè)教育相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1