freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

數(shù)字邏輯實(shí)驗(yàn)報(bào)告-資料下載頁(yè)

2025-08-04 00:16本頁(yè)面
  

【正文】 暫存信號(hào) signal clk : std_logic。 脈沖控制信號(hào)begin clk=clock when load=39。039。 else adjust。 通過脈沖控制信號(hào)來控制當(dāng)前正常運(yùn)行或調(diào)表置數(shù)模24計(jì)數(shù)進(jìn)程 process (clk,clr) begin if (clr=39。139。) then s1_temp = 0000。 s10_temp = 00。 elsif (clk39。event and clk=39。139。) then if (s1_temp=3 and s10_temp=2) then s1_temp = 0000。 s10_temp = 00。 elsif (s1_temp=9) then s1_temp=0000。 s10_temp=s10_temp+1。 else s1_temp = s1_temp+1。 end if。 end if。 end process。7段譯碼和輸出顯示進(jìn)程 process(s10_temp) begin if (clk_1s=39。139。 or load=39。039。) then case s10_temp is when 00 = s10=1111110。 when 01 = s10=0110000。 when 10 = s10=1101101。 when others = null。 end case。 else s10=0000000。 end if。 end process。 s1 = s1_temp when (clk_1s=39。139。 or load=39。039。) else 1111。 通過1s時(shí)鐘信號(hào)來控制在調(diào)表狀態(tài)下 對(duì)應(yīng)的輸出燈管 進(jìn)行閃爍end behavioral。六、調(diào)試中出現(xiàn)的問題及解決方法由于前面已經(jīng)有過很多編寫計(jì)數(shù)器的經(jīng)驗(yàn),因此在編寫計(jì)數(shù)器的方面進(jìn)行的很順利,并未遇到什么問題,但在對(duì)時(shí)鐘功能的實(shí)現(xiàn)方面,遇到了一些問題。(1)關(guān)于修改時(shí)鐘數(shù)值的問題。開始的時(shí)候考慮用開關(guān)直接向時(shí)鐘置數(shù),此時(shí)時(shí)鐘處于停止工作狀態(tài)。但遇到了很多問題,首先當(dāng)采用開關(guān)置數(shù)后大大占用了芯片的模塊,導(dǎo)致后期編寫控制信號(hào)時(shí)所使用的模塊數(shù)受限,其次,置數(shù)的時(shí)候由于是電平觸發(fā),會(huì)產(chǎn)生毛刺,造成置數(shù)系統(tǒng)的跳動(dòng)和不穩(wěn)定。狀態(tài)轉(zhuǎn)換也遇到了相同的問題,因此最后采用了上升沿觸發(fā)的方法,將置數(shù)信號(hào)以及狀態(tài)控制信號(hào)都與電鍵相接,避免了毛刺的產(chǎn)生,保證了系統(tǒng)的穩(wěn)定。(2)關(guān)于進(jìn)位問題。剛開始的時(shí)候并未對(duì)進(jìn)位進(jìn)行認(rèn)真的考慮,后來發(fā)現(xiàn)當(dāng)時(shí)鐘處于被修改狀態(tài)時(shí),即對(duì)時(shí)、分、秒的值進(jìn)行修改時(shí),不應(yīng)產(chǎn)生進(jìn)位,故在進(jìn)位信號(hào)的產(chǎn)生處添加了一個(gè)load控制信號(hào),用來判定此時(shí)時(shí)鐘是否處于被修改狀態(tài),若是,則不產(chǎn)生進(jìn)位,反之,則產(chǎn)生進(jìn)位。co = co_temp when (load=39。039。) else 39。039。(3)關(guān)于時(shí)鐘計(jì)時(shí)問題。最初的時(shí)候,按照從前數(shù)字邏輯老師講過的60進(jìn)制計(jì)數(shù)器,進(jìn)位方法如下:co = ‘1’ when ( s10_temp=5 and ts1_temp=9) else ‘0’。即當(dāng)數(shù)到59的時(shí)候就立即進(jìn)位,雖然是60進(jìn)制的計(jì)數(shù)器,但卻在59的時(shí)候立即進(jìn)位造成響鈴的時(shí)候會(huì)提前一分鐘,后來考慮在分鐘為“00000000”的時(shí)候響鈴,但此時(shí)秒位可能不是全零而導(dǎo)致不是整點(diǎn)也會(huì)報(bào)時(shí),而當(dāng)把分鐘與秒鐘都作為判定條件時(shí)會(huì)造成模塊數(shù)不足。故最后決定修改計(jì)數(shù)器,將進(jìn)位信號(hào)放到計(jì)數(shù)過程中,即if (s1_temp=9) then s1_temp = 0000。 if (s10_temp=5) then s10_temp = 0000。 co_temp=39。139。 else co_temp=39。039。 s10_temp = s10_temp+1。 end if。 else co_temp=39。039。 s1_temp = s1_temp+1。 end if。此時(shí),計(jì)數(shù)器在記到60的時(shí)候進(jìn)位,既滿足了實(shí)際情況的要求,同時(shí)使響鈴正常。(4)讓顯示閃爍的問題。由于考慮到置數(shù)的時(shí)候需要停表,故開始時(shí)將置數(shù)信號(hào)也作為1s時(shí)鐘計(jì)數(shù)器的控制信號(hào),但由于調(diào)表狀態(tài)下輸出信號(hào)的閃爍是靠1s時(shí)鐘計(jì)數(shù)器來控制的,故導(dǎo)致了在置數(shù)狀態(tài)下觀察不到期望的閃爍,因而最后將閃爍的控制信號(hào)放在控制輸出信號(hào)上,即:s1 = s1_temp when (clk_1s=39。139。or load=39。039。) else 1111。 這樣雖然在調(diào)表的時(shí)候時(shí)鐘依然走,但更加符合現(xiàn)實(shí)中電子鐘的構(gòu)造。(5)響鈴音效問題。開始時(shí)為了避免再添加多余的接口,將1s計(jì)數(shù)器用于時(shí)鐘的音效控制上,但由于其頻率實(shí)在過于低,總得不到預(yù)期的效果,故最終大家決定再用一個(gè)50KHZ的時(shí)鐘信號(hào)。七、層次設(shè)計(jì)的體會(huì) 這次實(shí)驗(yàn)采用層次設(shè)計(jì)主模塊用來整合兩個(gè)子模塊60和24計(jì)數(shù)器形成基本的時(shí)鐘系統(tǒng)。有三個(gè)底層模塊:一個(gè)模塊為60計(jì)數(shù)器模塊,一個(gè)為24計(jì)數(shù)器模塊,最后一個(gè)為響鈴模塊。利用層次設(shè)計(jì)的方法,結(jié)構(gòu)明了、思路清晰、便于分析。并且將復(fù)雜問題簡(jiǎn)單化、模塊化、結(jié)構(gòu)化,抽象問題具體化、簡(jiǎn)單化。特別是元件例化語(yǔ)句使結(jié)構(gòu)功能、端口連接一目了然,可以代碼復(fù)用,避免重復(fù)代碼,還可以增強(qiáng)代碼的可讀性。八、比較不同種描述方式的心得 實(shí)驗(yàn)中結(jié)構(gòu)體的三種描述方式都用到了:數(shù)據(jù)流描述、結(jié)構(gòu)描述、行為描述。數(shù)據(jù)流描述(用布爾代數(shù)描述系統(tǒng)的輸入和輸出)邏輯清晰、描述簡(jiǎn)單。結(jié)構(gòu)描述(分層次描述,高層可調(diào)用低層模塊)使程序模塊劃分清晰,便于從宏觀上把握程序功能,便于整體設(shè)計(jì)。行為描述更容易把握程序?qū)τ诓煌斎牖蛘咝盘?hào)所做的動(dòng)作。根據(jù)不同情況選擇不同描述方法可以使程序得到優(yōu)化。九、本次設(shè)計(jì)的收獲和不足 本次設(shè)計(jì)是大家最滿意的一個(gè)設(shè)計(jì),無(wú)論是從模塊的設(shè)計(jì),還是功能的實(shí)現(xiàn),以及與現(xiàn)實(shí)中電子鐘的擬合程度上都要高于前3次設(shè)計(jì)。同時(shí)在顯示效果上也更加美觀貼切。 本次試驗(yàn)問題雖然只有5個(gè),但解決起來著實(shí)費(fèi)了很大一般功夫,大家積極思考,激烈討論,想出了很多好的辦法,并在解決問題的過程中對(duì)代碼進(jìn)行了優(yōu)化,通過此次設(shè)計(jì)大家對(duì)于計(jì)數(shù)器有了更深入的理解,也對(duì)于進(jìn)程內(nèi)外代碼的執(zhí)行方式和順序有了更加深刻的認(rèn)識(shí),駕馭代碼的能力也有了顯著的提高。對(duì)于VHDL也有了更濃厚的興趣。 當(dāng)然本次設(shè)計(jì)也有很多不足,本次設(shè)計(jì)的不足之一是在置數(shù)狀態(tài)下無(wú)法逐位清零,當(dāng)給清零信號(hào)時(shí)即對(duì)時(shí)鐘全體清零,其次是響鈴的時(shí)間足有一分鐘,開始的想法是要根據(jù)電子琴給出的頻率做一段音樂,但礙于模塊數(shù)量以及為了完成附加試驗(yàn)調(diào)試時(shí)間可能不夠,故最終放棄了做音樂的打算。十、實(shí)驗(yàn)分工 實(shí)驗(yàn)調(diào)試由全體成員完成,其中計(jì)數(shù)器模塊主要由羅亞群和袁泉負(fù)責(zé),時(shí)鐘總體模塊主要由馬釗和楊晨笛負(fù)責(zé)。 實(shí)驗(yàn)五:藥片裝瓶系統(tǒng)設(shè)計(jì)一、實(shí)驗(yàn)?zāi)康蘑耪莆蛰^復(fù)雜邏輯的設(shè)計(jì)、調(diào)試。⑵采用VHDL語(yǔ)言,或原理圖+VHDL語(yǔ)言來設(shè)計(jì)數(shù)字系統(tǒng)。⑶學(xué)習(xí)數(shù)字系統(tǒng)設(shè)計(jì)方法。⑷掌握ispLEVER軟件的使用方法。⑸熟悉ISP器件的使用。二、實(shí)驗(yàn)所用器件和設(shè)備在系統(tǒng)可編程邏輯器件ISP1032一片示波器一臺(tái)萬(wàn)用表或邏輯筆一只TEC5實(shí)驗(yàn)系統(tǒng),或TDS2B數(shù)字電路實(shí)驗(yàn)系統(tǒng)一臺(tái)三、實(shí)驗(yàn)內(nèi)容如圖51左面所示,藥片由輸送管送入漏斗裝置中,后者頸部每次只允許一粒藥片掉進(jìn)傳送帶上的瓶子里。漏斗的頸部有一個(gè)光傳感器,它探測(cè)到每一粒藥片后產(chǎn)生一個(gè)電脈沖信號(hào)。這個(gè)脈沖傳送到計(jì)數(shù)器中,使其計(jì)數(shù)加1,這樣在藥片裝入瓶子過程的任一時(shí)刻,計(jì)數(shù)器都保存著瓶子中藥片數(shù)量的二進(jìn)制數(shù)。這個(gè)二進(jìn)制數(shù)以計(jì)數(shù)器通過并行導(dǎo)線傳送到比較器的輸入端B。另一方面,每個(gè)瓶子中要裝入的固定藥片數(shù)量(例如50片)通過鍵盤手動(dòng)設(shè)置。按鍵信號(hào)經(jīng)過編碼器編碼后送到寄存器A保存,而代碼轉(zhuǎn)換器A將寄存器A中的BCD數(shù)變成二進(jìn)制數(shù)送到比較器輸入端A。假設(shè)每個(gè)瓶子要裝50粒藥片,當(dāng)計(jì)數(shù)器的數(shù)值達(dá)到50后,比較器的A=B輸出端出現(xiàn)高電平,指示瓶子已裝滿,立即關(guān)閉漏斗頸上的閥門使藥片停止下落,與此同時(shí)它使傳送帶移動(dòng)下一個(gè)瓶子到漏斗的下面。當(dāng)瓶子到達(dá)漏斗頸正下方時(shí),傳送帶的控制電路產(chǎn)生一個(gè)脈沖信號(hào)使計(jì)數(shù)器清0,比較器A=B輸出端變成低電平,打開漏斗閥門,重新開始藥片滴落。圖51 藥片裝瓶計(jì)數(shù)顯示系統(tǒng)框圖結(jié)合上面的藥片裝瓶系統(tǒng)設(shè)計(jì)實(shí)例,采用VHDL設(shè)計(jì),并用ISP1032E大容量器件實(shí)現(xiàn)如圖52所示的藥片裝瓶系統(tǒng)。⑴實(shí)驗(yàn)臺(tái)上的5個(gè)數(shù)碼管作為顯示系統(tǒng),顯示每瓶藥片及總藥片的數(shù)量。⑵用實(shí)驗(yàn)臺(tái)的紅綠發(fā)光二極管來模擬對(duì)機(jī)電裝置系統(tǒng)的輸出,綠色燈亮表示啟動(dòng)機(jī)電裝置,裝瓶進(jìn)行中;紅色燈亮表示裝瓶完成,機(jī)電裝置關(guān)閉。⑶輸入子系統(tǒng)為包括BCD碼每瓶裝藥數(shù)輸入與裝瓶開始脈沖輸入,設(shè)計(jì)要求每瓶最大藥片數(shù)50粒,最多裝18瓶。⑷啟動(dòng)裝瓶開始脈沖后,如果輸入數(shù)量超出最大裝瓶數(shù)或者為零,要求顯示系統(tǒng)出現(xiàn)告警提示。⑸漏斗感應(yīng)器送來的藥片裝瓶信號(hào)用2s信號(hào)模擬,可以用實(shí)驗(yàn)臺(tái)提供的5kHz的時(shí)鐘分頻產(chǎn)生。⑹在實(shí)驗(yàn)臺(tái)上調(diào)試設(shè)計(jì)。圖52 藥片裝瓶系統(tǒng)四、設(shè)計(jì)思路圖53示出了藥片裝瓶控制與顯示系統(tǒng)的組成總框圖,它可以劃分為如下七個(gè)子系統(tǒng):圖53 藥片裝瓶控制數(shù)字系統(tǒng)總框圖(注:我們?cè)谶M(jìn)行設(shè)計(jì)時(shí)根據(jù)實(shí)驗(yàn)設(shè)備的實(shí)際情況,對(duì)各子系統(tǒng)的具體實(shí)現(xiàn)方法與功能進(jìn)行了調(diào)整,以下描述可能與圖53有差異。)輸入子模塊 由兩個(gè)單脈沖開關(guān)和38譯碼器組成,輸入子系統(tǒng)用來設(shè)置每個(gè)瓶子中應(yīng)裝的藥片數(shù)和總的藥瓶數(shù)。單脈沖開關(guān)Ⅰ用來切換狀態(tài)(用3位二進(jìn)制數(shù)狀態(tài)編碼),譯碼結(jié)果用來選擇5種狀態(tài):置每瓶的藥片數(shù)(十位、個(gè)位),總的藥瓶數(shù)(十位、個(gè)位),系統(tǒng)就緒可以運(yùn)行。單脈沖開關(guān)Ⅱ用來加1置數(shù)。輸出子模塊1 用來顯示用戶設(shè)定的每個(gè)瓶子中所裝的藥片數(shù)和總的藥瓶數(shù)。由于用七段數(shù)碼管進(jìn)行顯示(已內(nèi)置七段譯碼器),寄存器輸出直接送數(shù)碼管顯示。數(shù)碼管的輸入來自寄存器RA和RB,RA保存用戶設(shè)定的每瓶藥片數(shù)的BCD碼,RB保存用戶設(shè)定的總藥瓶數(shù)的BCD碼。輸出子模塊2 (與輸出子系統(tǒng)1復(fù)用數(shù)碼顯示管)用來顯示當(dāng)前藥瓶的藥片數(shù)和多個(gè)瓶子中所裝的藥片總數(shù)值。數(shù)值分別放在計(jì)數(shù)器RC和RD中,由于均采用十進(jìn)制BCD碼計(jì)數(shù),輸出可直接送數(shù)碼管顯示。輸出子模塊3 用來標(biāo)識(shí)系統(tǒng)所處狀態(tài)并且對(duì)錯(cuò)誤輸入報(bào)警。狀態(tài)寄存器和報(bào)警信號(hào)的輸出可直接送紅、綠、黃指示燈顯示。比較子模塊 由寄存器RA和計(jì)數(shù)器RC,寄存器RB和計(jì)數(shù)器RE組成。其中計(jì)數(shù)器RE用來計(jì)數(shù)已裝藥瓶的個(gè)數(shù)。計(jì)數(shù)器子模塊 由計(jì)數(shù)器RC、RD、RE組成。五、設(shè)計(jì)方案library ieee。use 。use 。entity yaoping is port ( start : in std_logic。 start 信號(hào)控制系統(tǒng)狀態(tài) 0為輸入狀態(tài),1為工作狀態(tài) clk : in std_logic。 clk為頻率為5kHz脈沖信號(hào) choice :in std_logic。 choice為選擇輸入類型脈沖 adj : in std_logic。 adj為置數(shù)脈沖,一個(gè)脈沖表示當(dāng)前置數(shù)位的數(shù)加1 pill_light : out std_logic_vector(7 downto 0)。 當(dāng)前該瓶的裝藥數(shù) total_light : out std_logic_vector(11 downto 0)。 當(dāng)前已裝藥片總數(shù) red : out std_logic。 系統(tǒng)處于輸入藥片或藥瓶狀態(tài) green : out std_logic。 系統(tǒng)處于裝瓶狀態(tài) wrong : out std_logic 輸入藥瓶或藥片數(shù)量不滿足要求,出現(xiàn)告警指示 )。end yaoping。architecture behavioral of yaoping is signal temp :std_logic_vector(3 downto 0)。臨時(shí)記錄輸入的數(shù) signal temp1: i
點(diǎn)擊復(fù)制文檔內(nèi)容
職業(yè)教育相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1