freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的六層電梯控制器-電子信息科學(xué)與技術(shù)eda技術(shù)項(xiàng)目設(shè)計(jì)報(bào)告-資料下載頁(yè)

2024-11-08 01:35本頁(yè)面

【導(dǎo)讀】電梯控制器的發(fā)展現(xiàn)狀-------------錯(cuò)誤!本次設(shè)計(jì)的主要內(nèi)容---------------錯(cuò)誤!設(shè)計(jì)原理與思路-------------------錯(cuò)誤!FPGA常用芯片介紹----------------錯(cuò)誤!時(shí)鐘分頻模塊---------------------錯(cuò)誤!按鍵處理模塊---------------------錯(cuò)誤!出現(xiàn),電梯的應(yīng)用越來(lái)越來(lái)廣泛了,與此同時(shí),對(duì)電梯的要求也越來(lái)越高了。鳴,速度可以達(dá)到,最高可以使用在30層以上。給手機(jī)發(fā)送故障信息,并通過(guò)手機(jī)發(fā)送信號(hào)對(duì)電梯進(jìn)行簡(jiǎn)單控制。由于FPGA技術(shù)近些年來(lái)蓬勃發(fā)展,而且在很多領(lǐng)域已經(jīng)應(yīng)用的十分成熟,求按鈕時(shí),控制器響應(yīng)該請(qǐng)求并控制電梯前往該樓層,當(dāng)?shù)竭_(dá)該樓層時(shí)電梯開(kāi)門(mén),升請(qǐng)求按鈕,六樓電梯門(mén)外只有下降請(qǐng)求按鈕。6個(gè)前往樓層的按鈕、提前關(guān)門(mén)按鈕、延時(shí)關(guān)門(mén)按鈕、電梯異常按鈕。等警告指示信號(hào)、電梯當(dāng)前所在樓層指示信號(hào)、電梯運(yùn)行方面指示信號(hào)。這種新的器件比第一代Cyclone

  

【正文】 or fdnlight(posreg) = 39。139。 or ( fdnlight = 000000 and fuplight(posreg) = 39。139。)) then mylift = stop。 elsif posreg = 1 and ( fdnlight 000000 or fuplight 000000) then mylift = stop。 else mylift = down。 udsig=01111010。 udflag = 39。139。 if posreg1 then posreg = (posreg1)。 end if。 end if。 when stop = mylift = dooropen。 clearup = 39。039。 cleardn = 39。039。 when dooropen = doorlight = 39。139。 clearup = 39。039。 cleardn = 39。039。 mylift = doorwait1。 when others = mylift = doorwait1。 clearup = 39。039。 cleardn = 39。039。 end case。 end if。 end if。 end process 。 position = posreg。 process(clk,posreg) begin if clk 39。event and clk = 39。139。 then case posreg is when 1 = one = 000001。 when 2 = one = 000010。 when 3 = one = 000100。 when 4 = one = 001000。 when 5 = one = 010000。 when 6 = one = 100000。 end case。 end if。 end process 。 end 。 電梯控制運(yùn)行模塊源程序編寫(xiě)完成后,進(jìn)行編譯、運(yùn)行并生成模塊文件,確認(rèn)沒(méi)有錯(cuò)誤之后進(jìn)行波形仿真、時(shí)序分析。其模塊原理圖 53 如下: 圖 53 電梯運(yùn)行控制模塊原理圖 數(shù)碼管顯示模塊 數(shù)碼管顯示模塊的功能主要是把樓層信號(hào)轉(zhuǎn)化為數(shù)字信號(hào),通過(guò)數(shù)碼管進(jìn)行顯示,顯示電梯運(yùn)行到的樓層指示, 本次設(shè)計(jì)用的是共陰極數(shù)碼管,其源程序如下: 數(shù)碼管顯示模塊: library ieee。 use 。定義所用的標(biāo)準(zhǔn)庫(kù) entity floorled is port( clk : in std_logic。系統(tǒng)時(shí)鐘頻率 position :in std_logic_vector(2 downto 0)。電梯位置變量 posled : out std_logic_vector(7 downto 0)電梯樓層顯示變量 )。 定義輸入輸出變量 end floorled。 architecture behave of floorled is begin process(clk)時(shí)鐘分頻進(jìn)程 begin if clk 39。event and clk = 39。139。 then時(shí)鐘脈沖上升沿有效 case position is when 001 = posled = 01100000。顯示數(shù)字 1 when 010 = posled = 11011010。顯示數(shù)字 2 when 011 = posled = 11110010。顯示數(shù)字 3 when 100 = posled = 01100110。顯示數(shù)字 4 when 101 = posled = 10110110。顯示數(shù)字 5 when 110 = posled = 10111110。顯示數(shù)字 6 when others=posled = 01100000。 顯示數(shù)字 1 end case。 end if。 end process 。 end behave。 數(shù)碼管顯示模塊源程序編寫(xiě)完成后,進(jìn)行編譯、運(yùn)行并生成模塊文件,確認(rèn)沒(méi)有錯(cuò)誤之后進(jìn)行波形仿真、時(shí)序分析。其模塊原理圖 54 如下: 圖 54 數(shù)碼管顯示模塊原理圖 電梯控制器的所有模塊都已經(jīng)設(shè)計(jì)完成,然后將這四個(gè)模塊進(jìn)行綜合,將各個(gè)模塊的相關(guān)信號(hào)進(jìn)行連接,并進(jìn)行功能仿真和時(shí)序分析。 電梯超重控制可行性分析 經(jīng)過(guò)我細(xì)心觀察和親自乘坐電梯的感受,現(xiàn)在很多電梯對(duì)于超重的處理存在一定的不完 善,分析主要原因是在于對(duì)達(dá)到超重前的重量控制沒(méi)有一定的預(yù)留,現(xiàn)在的電梯在運(yùn)行過(guò)程中只要是沒(méi)有達(dá)到超重值,那么在其運(yùn)行過(guò)程中,無(wú)論是有上升還是下降請(qǐng)求信號(hào),總會(huì)對(duì)其進(jìn)行響應(yīng)并開(kāi)門(mén),即使此時(shí)不能上一個(gè)人,但是由于沒(méi)有達(dá)到超重值,門(mén)還是會(huì)開(kāi)的;這樣其實(shí)更浪費(fèi)大家的時(shí)間,如果說(shuō),我們?cè)谶@個(gè)過(guò)程中通過(guò)對(duì)這個(gè)超重范圍進(jìn)行一定的控制,就不會(huì)造成這樣的情況,也正因?yàn)槿绱?,本次設(shè)計(jì)提出對(duì)超重控制的改進(jìn)分析。具體實(shí)現(xiàn)的原理方框圖 55 如下 : 圖 55 電梯超重控制原理方框圖 具體的實(shí)現(xiàn)方法是對(duì)超重的范圍預(yù)留一個(gè)平均體重 的重量,當(dāng)電梯的載重在這個(gè)范圍內(nèi)時(shí),電梯在運(yùn)行過(guò)程中不再開(kāi)門(mén),直到有人出電梯,然后再按照電梯的運(yùn)行規(guī)則運(yùn)行。此次設(shè)計(jì)提出的方案是通過(guò)傳感器與 FPGA 芯片進(jìn)行處理,將壓電式傳感器對(duì)壓力這種非電量信號(hào)進(jìn)行采集,然后經(jīng)過(guò)信號(hào)放大、 A/D 轉(zhuǎn)換,最終將生成的數(shù)字信號(hào)送入 FPGA 芯片進(jìn)行處理,然后控制電梯運(yùn)行;實(shí)現(xiàn)超重優(yōu)先級(jí),控制超重在一定范圍內(nèi),不做開(kāi)門(mén)響應(yīng),直到有人出電梯,電梯載重在正常范圍內(nèi)。 電梯控制器頂層圖形 圖 61 電梯控制器原理圖 圖 62 封裝后的電梯控制器原 理圖 總結(jié) 本次設(shè)計(jì)是基于 FPGA 的 6 層電梯控制器的研究,設(shè)計(jì)過(guò)程中采用模塊化設(shè)計(jì)方法,主要分為四大模塊:時(shí)鐘分頻模塊、按鍵處理模塊、電梯運(yùn)行控制模塊、數(shù)碼管顯示模塊,其中,電梯運(yùn)行控制模塊是整個(gè)設(shè)計(jì)的核心,主要負(fù)責(zé)對(duì)電梯運(yùn)行模式和狀態(tài)的控制,采用 VHDL 硬件描述語(yǔ)言編程,在控制電梯的狀態(tài)方面,采用狀態(tài)機(jī)的方法對(duì)電梯的狀態(tài)進(jìn)行控制,使得電梯運(yùn)行過(guò)程變得簡(jiǎn)單、快捷、穩(wěn)定,此次設(shè)計(jì)過(guò)程中提出使用傳感器對(duì)電梯超重情況進(jìn)行控制,更讓電梯變得智能化、人性化。此次設(shè)計(jì)讓我對(duì) FPGA 有的更深刻的認(rèn)識(shí), VHDL/Verilog HDL 硬件描述語(yǔ)言進(jìn)行了深入的學(xué)習(xí),為以后對(duì)這方面的研究做了鋪墊,也為以后的工作提供了理論基礎(chǔ)。 參考文獻(xiàn) [1] 盧毅,賴(lài)杰 .VHDL 與數(shù)字電路設(shè)計(jì) .科學(xué)出版社, [2] 劉建清,劉漢文,高廣海 .從零開(kāi)始學(xué) CPLD 和 Verilog HDL 編程技術(shù) .國(guó)防工業(yè)出版社, [3] 劉福奇 .FPGA 嵌入式項(xiàng)目開(kāi)發(fā)實(shí)戰(zhàn) .電子工業(yè)出版社, [4] 張丕狀,李兆光 .基于 VHDL 的 CPLD/FPGA 開(kāi)發(fā)與應(yīng)用 .國(guó)防工業(yè)出版社, [5] 葛亞明, 彭永豐,薛冰 .零基礎(chǔ)學(xué) , [6] 余孟嘗 .數(shù)字電子技術(shù)基礎(chǔ)簡(jiǎn)明教程 .高等教育出版社, [7] 謝自美 .電子線路設(shè)計(jì)實(shí)驗(yàn)測(cè)試 .華中科技大學(xué)出版社, [8] 江國(guó)強(qiáng) .EDA 技術(shù)與應(yīng)用 .電子工業(yè)出版社, [9] 聶小燕,魯才 .數(shù)字電路 EDA 設(shè)計(jì)與應(yīng)用 .人民郵電出版社, [10] 華清遠(yuǎn)見(jiàn)嵌入式培訓(xùn)中心 .FPGA 應(yīng)用開(kāi)發(fā)入門(mén)與典型實(shí)例 .人民郵電出版 社, [11] 潘松,黃繼業(yè) .EDA 技術(shù)實(shí)用教程 — VHDL 版(第四版) .科學(xué)出版社, [12] [英 ]Peter Wilson,杜生海 [譯 ].FPGA 設(shè)計(jì)實(shí)踐 .人民郵電出版社, [13] 侯伯亭,顧新 .VHDL 硬件描述語(yǔ)言與數(shù)字邏輯電路設(shè)計(jì) .西安電子科技大學(xué), [14] 楊春玲,朱敏 .EDA 技術(shù)與實(shí)驗(yàn) .哈爾濱工業(yè)大學(xué)出版社, [15] 焦素敏 .EDA 應(yīng)用技術(shù) .清華大學(xué)出版社, [16] 李洪偉,袁斯華 .基于 Quartus Ⅱ的 FPGA/CPLD 設(shè)計(jì) .電子工業(yè)出版社, [17] 邢建 平,曾繁泰 .VHDL 程序教程(第 3 版) .清華大學(xué)出版社, [18] 潘松,黃繼業(yè) .EDA 技術(shù)與 VHDL(第 2 版) .清華大學(xué)出版社, [19] 李廣軍,孟憲元 .可編程 ASIC 設(shè)計(jì)及應(yīng)用 .成都電子科技大學(xué)出版社, [20] 楊恒,盧飛成 .FPGA/CPLD 快速工程實(shí)踐入門(mén)與提高 .北京航空航天大學(xué)出 版社, [21] 雷伏容,李俊,尹霞 .EDA 技術(shù)與 VHDL 開(kāi)發(fā)基礎(chǔ)教程 .清華大學(xué)出版社,
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1