freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的頻率計(jì)設(shè)計(jì)與實(shí)現(xiàn)畢業(yè)設(shè)計(jì)-資料下載頁(yè)

2025-06-27 17:40本頁(yè)面
  

【正文】 圖形符號(hào)。,編譯后生成account的圖形符號(hào)。與此類(lèi)似,將各底層模塊創(chuàng)建元件符號(hào),為頂層模塊的創(chuàng)建服務(wù)。首先新建原理圖,在原理圖編輯器中的空白區(qū)域雙擊即可打開(kāi)添加器件窗口,通過(guò)鼠標(biāo)選擇左窗口里的元件,然后選擇OK按鈕,則被選擇的器件符號(hào)就被添加到編輯器中了。將創(chuàng)建好的如圖79所示的元件符號(hào)加入編輯器中,將各元件按擬定好的規(guī)則連接好,并添加輸入和輸出,同時(shí)設(shè)定好輸入輸出的引腳名。 圖79 生成的元件符號(hào)當(dāng)把原理圖畫(huà)好之后,保存,編譯,仿真,之后進(jìn)行引腳鎖定。表71為本次所用到的引腳名稱(chēng)及引腳號(hào),整理好各個(gè)號(hào)碼后,就可以進(jìn)行鎖定了。首先選擇菜單Assignment下拉菜單下的pins/location/chip…,在彈出對(duì)話框的Node Name中輸入引腳名,Pin中輸入引腳號(hào),然后單擊Add添加進(jìn)去即可。添加完后單擊OK就完成了引腳鎖定。表71 整理好的引腳鎖定表Node Name DirectionLocation1AInputPIN_842BInputPIN_873bus4[6]OutputPIN_694bus4[5]OutputPIN_705bus4[4]OutputPIN_736bus4[3]OutputPIN_767bus4[2]OutputPIN_788bus4[1]OutputPIN_809bus4[0]OutputPIN_8110clkInput PIN_8211restInputPIN_8312shift[3]OutputPIN_4913shift[2]OutputPIN_5014shift[1]OutputPIN_5115shift[0]OutputPIN_52鎖完引腳后,便可以進(jìn)行下載了。選擇Tools下拉菜單下的Programmer選項(xiàng),可調(diào)出編輯器Programmer窗口,下載設(shè)計(jì)文件之前需要設(shè)定編程方式。在設(shè)置編程方式窗口中,用鼠標(biāo)點(diǎn)擊“Hardware…”(硬件設(shè)置)按鈕,彈出“Hardware Setup”硬件設(shè)置對(duì)話框如圖710。在對(duì)話框中按下“Add Hardware”按鈕,在彈出的添加硬件對(duì)話框中選擇“ByteBlasterMV[LP1]”(其中的“USBBlaster[USB0]”用于串口)編程方式后按“OK”按鈕。ByteBlasterMV[LP1]編程方式對(duì)應(yīng)計(jì)算機(jī)的并行口編程下載通道,“MV”是混合電壓的意思,主要指對(duì)ALTERA的各類(lèi)芯片電壓的FPGA/CPLD都能由此編程下載。硬件設(shè)置完成后,在返回到的設(shè)置編程方式窗口中,用鼠標(biāo)左鍵點(diǎn)擊“Program/Configure”欄目下的小方框選中編程/配置文件(在方框內(nèi)出現(xiàn)“√”),并在“Mode:”欄目?jī)?nèi)保持原有的是“JTAG”模式。JTAG模式適用于各種類(lèi)型的可編程邏輯器件,如果選擇Passive Serial模式,則適用于Altera公司的可編程邏輯器件。完成上述操作后,執(zhí)行“Processing”的“Starts”命令,或者用鼠標(biāo)左鍵點(diǎn)擊設(shè)置編程方式窗口左邊開(kāi)始編程按鈕“Start”,實(shí)現(xiàn)設(shè)計(jì)電路到目標(biāo)芯片的編程下載。圖710 設(shè)置編程方式窗口 下載及硬件實(shí)現(xiàn)依上節(jié)所述,打開(kāi)頂層模塊原理圖,生成工程文件,編譯,無(wú)誤后,方可下載至芯片。下載前,將之前做好的施密特觸發(fā)器接入實(shí)驗(yàn)箱上,輸入端連接外接信號(hào),輸出端連接FPGA的擴(kuò)展引腳。用DDS函數(shù)信號(hào)發(fā)生器調(diào)節(jié)所需信號(hào),將所需信號(hào)接入施密特的輸入端。其函數(shù)信號(hào)發(fā)生器輸出一定頻率波形圖像如圖所示711所示。圖711 函數(shù)發(fā)生器各頻率信號(hào)輸出圖像連接好外接電路后,打開(kāi)實(shí)驗(yàn)箱,單擊Start進(jìn)行下載。如無(wú)誤,即可將程序下載至FPGA芯片上。觀測(cè)數(shù)碼管查看顯示數(shù)字,即可得出測(cè)得的頻率值。實(shí)物圖如下圖712所示。數(shù)字頻率計(jì)的基頻為1Hz,所以頻率值為fs=1Hz=。數(shù)碼管顯示的數(shù)字是3145HZ。圖712 函數(shù)發(fā)生器產(chǎn)生信號(hào)對(duì)應(yīng)的實(shí)物圖像用功率函數(shù)信號(hào)發(fā)生器調(diào)節(jié)所需信號(hào),將所需信號(hào)接入施密特的輸入端。其函數(shù)信號(hào)發(fā)生器輸出一定頻率波形圖像如圖所示713所示。圖713 函數(shù)發(fā)生器產(chǎn)生信號(hào)對(duì)應(yīng)的實(shí)物圖像實(shí)物圖如下圖714所示。數(shù)字頻率計(jì)的基頻為1Hz,所以頻率值為fs=1Hz=,數(shù)碼管顯示的數(shù)字是4483HZ。 圖714 函數(shù)發(fā)生器各頻率信號(hào)輸出圖像8 結(jié)論本次設(shè)計(jì)讓我們體味到設(shè)計(jì)電路、連接電路、調(diào)測(cè)電路過(guò)程中的樂(lè)苦與甜。設(shè)計(jì)是我們將來(lái)必需的技能,這次實(shí)習(xí)恰恰給我們提供了一個(gè)應(yīng)用自己所學(xué)知識(shí)的機(jī)會(huì)。本次畢業(yè)設(shè)計(jì)實(shí)現(xiàn)了設(shè)計(jì)要求的大部分功能,可以測(cè)量方波、正弦波、三角波等周期信號(hào)的頻率,最后用四個(gè)數(shù)碼管顯示所測(cè)得的頻率值。系統(tǒng)的誤差小于1%,精度達(dá)到1HZ,測(cè)量范圍為1Hz9999KHz,具有以下幾個(gè)特點(diǎn):模塊化的設(shè)計(jì)方法,使得它維護(hù)和修改都很方便、靈活;采用VHDL語(yǔ)言與原理圖相結(jié)合的方法,使設(shè)計(jì)簡(jiǎn)介且易于理解;只用一個(gè)輸入端來(lái)測(cè)量每種波形的頻率,節(jié)省了輸入端,不必為波形不同而選擇不同的輸入端帶來(lái)的麻煩。在學(xué)習(xí)過(guò)程中發(fā)現(xiàn)了以前學(xué)的數(shù)字電路的知識(shí)掌握的不牢。同時(shí)在設(shè)計(jì)的過(guò)程中,遇到了一些以前沒(méi)有見(jiàn)到過(guò)的元件,但是通過(guò)查找資料來(lái)學(xué)習(xí)這些元件的功能和使用。制作過(guò)程是一個(gè)考驗(yàn)人耐心的過(guò)程,不能有絲毫的急躁,馬虎,對(duì)電路的調(diào)試要一步一步來(lái),不能急躁,因?yàn)槭窃陔娔X上調(diào)試,比較慢,又要求我們有一個(gè)比較正確的調(diào)試方法,像把頻率調(diào)準(zhǔn)等等。這又要我們要靈活處理,在不影響試驗(yàn)的前提下可以加快進(jìn)度。合理的分配時(shí)間。在設(shè)計(jì)控制電路的時(shí)候, 我們可以連接譯碼顯示和計(jì)數(shù)電路,這樣就加快了完成的進(jìn)度。最重要的是要熟練地掌握課本上的知識(shí)。這樣才能對(duì)試驗(yàn)中出現(xiàn)的問(wèn)題進(jìn)行分析解決。謝辭經(jīng)過(guò)幾個(gè)月的查閱資料、焊接電路、調(diào)試程序,畢業(yè)設(shè)計(jì)終于接近尾聲了,而且我的論文也馬上就要完成了。在這里首先要感謝的就是我的指導(dǎo)老師馬軍爽老師了。在做畢設(shè)期間,馬老師耐心得講解我們遇到的每個(gè)問(wèn)題,對(duì)于在設(shè)計(jì)中涉及到的那些我們沒(méi)有接觸過(guò)的芯片及其功能,馬老師也親自通過(guò)不同途徑為我們查找相關(guān)資料,讓我們能更加詳細(xì)的了解芯片功能,以便更好地應(yīng)用它。馬老師還經(jīng)常向我們推薦相關(guān)書(shū)籍,豐富我們與畢設(shè)相關(guān)的專(zhuān)業(yè)知識(shí),每周安排固定的指導(dǎo)時(shí)間,檢查我們的進(jìn)度并解答我們不能解決的難題。正是因?yàn)槔蠋煹牟晦o辛苦,才使得我們?cè)谧鲈O(shè)計(jì)的過(guò)程中能及時(shí)解決那些無(wú)法獨(dú)自解決的難題,加快了畢設(shè)的進(jìn)度,使我們能夠在規(guī)定的時(shí)間內(nèi)完成畢業(yè)設(shè)計(jì)。當(dāng)然還要感謝學(xué)校為我們提供的實(shí)驗(yàn)室,使我們能夠方便地焊接電路板,下載調(diào)試程序。在做畢業(yè)設(shè)計(jì)期間我們同組的同學(xué)一般都是在一起焊接電路和調(diào)試程序,有時(shí)候遇到問(wèn)題了會(huì)一起討論,尋找解決方法,他們也向我提出了許多的寶貴意見(jiàn),對(duì)于他們的幫助在此我表示深深地感謝。通過(guò)做畢業(yè)設(shè)計(jì)我收獲了許多,無(wú)論是在生活上還是在學(xué)習(xí)上我明白了許多為人處事之道。畢業(yè)論文的完成為我的四年的大學(xué)生活畫(huà)上了一個(gè)完美的句號(hào),這三年的生活也給我留下深刻的印象,為我以后的人生之路做好了鋪墊。參考文獻(xiàn)[1] :電子科技大學(xué)出版社,2000年2月[2] :電子工業(yè)出版社,2002年10月[3] 李國(guó)洪,:機(jī)械工業(yè)出版社,2004年7月[4] ,1995年6月[5] 潘松 黃繼業(yè) 編著.《EDA技術(shù)與VHDL(第2版)》[M]. 北京:清華大學(xué)出版社,[6] 鄒彥等編著.《EDA技術(shù)與數(shù)字系統(tǒng)設(shè)計(jì)》[M].北京:電子工業(yè)出版社,[7] 趙明富 主編.《EDA技術(shù)與實(shí)踐》[M].北京:清華大學(xué)出版社,[8] 胡乾斌,李光斌,李玲,:華中科技大學(xué)出版,[9] 史軍,2005,21(5):37~38.[10] 凌振寶,2011,29(4):37[11] 牛曉第,馬洪濤,2009,22(9):[12] 梅麗鳳,王艷秋,[M].,2010.[13](美)西里提(Ciletti,.). Advanced Digital Design with the :電子工業(yè)出版社HDL,2005 66~158 [14] [M].:高等教育出版社,2006.[15] :MAX+: 國(guó)防工業(yè)出版社附錄Ⅰ 頻率計(jì)頂層文件 附錄Ⅱ 源程序library iee。use 。 use 。entity cekong is port(clk:in std_logic。 tsten:out std_logic。 clr_t:out std_logic。 load:out std_logic)。end cekong。architecture art of cekong issignal div2clk:std_logic。signal clr:std_logic。 signal loadt:std_logic。begin process(clk)isbegin if clk39。event and clk=39。139。 then div2clk=not div2clk。 end if。end process。process(clk,div2clk)begin if clk=39。039。 and div2clk=39。039。 then clr=39。139。 else clr=0。 end if。end process。 load=not div2clk。 tsten=div2clk。 clr_t=clr。end architecture art。library ieee。use 。entity zhi is port(a:in std_logic_vector(3 downto 0)。 b:in std_logic_vector(3 downto 0)。 c:in std_logic_vector(3 downto 0)。 d:in std_logic_vector(3 downto 0)。 y:out std_logic_vector(15 downto 0))。end zhi。architecture one of zhibeginprocess(a,b,c,d)beginy=damp。camp。bamp。a。end process。end one。library ieee。use 。entity kuo is port(a:in std_logic_vector(3 downto 0)。 b:in std_logic_vector(3 downto 0)。 c:in std_logic_vector(3 downto 0)。 d:in std_logic_vector(3 downto 0))。end kuo。architecture one of kuo isbeginprocess(y)begina=y(3 downto 0)。 b=y(7 downto 4)。 c=y(11 downto 8)。d=y(15 downto 12)。end process。end one。library ieee。use 。entity suo is port(load:in std_logic。din:in std_logic_vector(15 downto 0)。dout:out std_logic_vector(15 downto 0))。end suo。architecture art of suo isbegin process(load,din)isbegin if load 39。event and load= 39。139。then dout=din。 end if。end process。end art。library ieee。use 。entity account is port(clk:in std_logic。 clr:in std_logic。 ena:in std_logic。 cq:out integer range 0 to 15。 carry_out:out std_logic)。 end entity account。architecture art of account issignal cqi:integer range 0 to 15。begin process(clk,clr,ena)isbegin if clr= 39。139。 then cqi=0。elsif clk 39。event and clk= 39。139。 then
點(diǎn)擊復(fù)制文檔內(nèi)容
范文總結(jié)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1