【正文】
可以說(shuō)這個(gè)問(wèn)題只會(huì)耗費(fèi)你的時(shí)間與精力,根本不會(huì)被解決,除非是撞大運(yùn)。致 謝在本次的設(shè)計(jì)完成之際,我內(nèi)心充滿(mǎn)了感動(dòng),因?yàn)橐宦纷邅?lái),我知道這個(gè)過(guò)程是非常的不容易,在這里我想對(duì)我敬愛(ài)的劉老師致以最深深的謝意,在他的細(xì)心指導(dǎo)下我不僅僅是學(xué)到了較多的專(zhuān)業(yè)知識(shí),更多的是他幫我掌握了學(xué)習(xí)的方法,解決問(wèn)題的方法。再就是我們本課題組的所有成員跟檢查我設(shè)計(jì)的所有老師,謝謝他們的寶貴意見(jiàn),給我的方案增色改進(jìn)不少。在還沒(méi)有進(jìn)入我們小組之前我就十分的期待,因?yàn)榭粗覀兊慕M員,再看看我們的導(dǎo)師,組員里哪一個(gè)不是學(xué)習(xí)積有極向上,具有各自的特長(zhǎng),我們的導(dǎo)師那更不用說(shuō)了,是我們?cè)豪镒罹邔?shí)力的老師之一,在報(bào)老師的課題之前,我們都是抱著巨大的挑戰(zhàn)心理去的,因?yàn)槲覀兿敫鴦⒗蠋熀煤玫膶W(xué)點(diǎn)東西,也好在進(jìn)入社會(huì)上能較快的適應(yīng)我們專(zhuān)業(yè)的工作。在進(jìn)入這個(gè)團(tuán)體之后我是十分的高興,每一個(gè)同學(xué)像干涸的海綿拼命的從老師從書(shū)上網(wǎng)上汲取知識(shí),再這樣的團(tuán)體下學(xué)習(xí),能不讓人產(chǎn)生一中激動(dòng)的心情嗎,至少我是有的,再次深深感謝這個(gè)團(tuán)體給了我這個(gè)很好的學(xué)習(xí)氛圍。雖然說(shuō)我有時(shí)候比較的笨但是老師還是在積極的給我講解,甚至花費(fèi)在我身上大量的時(shí)間,我有時(shí)候感覺(jué)遇到劉老師真的特別的幸運(yùn),在他的指導(dǎo)下,我的課題進(jìn)展速度突飛猛進(jìn),在老師的感染下我在后半段的工作上學(xué)會(huì)了如何去解決問(wèn)題,如何遇到問(wèn)題分析問(wèn)題,這些經(jīng)驗(yàn)將為我今后的學(xué)習(xí)和工作中打下堅(jiān)實(shí)的基石參考文獻(xiàn)[1]曹軍義,[J]2001,6:17~21.[2]孫德明,[J].,23:l60~162.[3]朱文凱,陶波,))),7:53~54.[4]楊叔子,史鐵林,[J].振動(dòng)、測(cè)試與診斷.1997,17(l):l~6.[5]凌振寶,王君,2:37~38.[6]朱文凱,陶波,21(6):17~21.[7]朱文凱,何嶺松,[J].,8:1~4.[8] 候伯亨,顧新,.[9] 劉長(zhǎng)征,張華榮,Visual C++串口通信及測(cè)控應(yīng)用實(shí)例詳解,電子工業(yè)出版社.[10] 劉書(shū)智, Visual串口通信C++與工程應(yīng)用實(shí)踐,中國(guó)鐵道出版社.[11] 郭軍,基于FPGA與Verilog的計(jì)算機(jī)組成原理實(shí)踐,清華大學(xué)工業(yè)出版社. [12] 李建華,郭明,RS232和調(diào)制解調(diào)器高級(jí)通信編程,人民郵電出版社。[13] 王媛媛,劉寧莊,VHDL與FPGA應(yīng)用設(shè)計(jì),西安科技大學(xué)。[14] 王媛彬,微電子專(zhuān)業(yè)英語(yǔ),西安科技大學(xué)。[15] 莫得舉,夏濤,勒麗,Visual Basic程序設(shè)計(jì),北京郵電大學(xué)出版社。[16] 劉欲曉,方強(qiáng),黃宛寧,EDA技術(shù)與VHDL電路開(kāi)發(fā)應(yīng)用實(shí)踐,電子工業(yè)出版社。[17] 褚振勇,齊亮,田紅心,F(xiàn)PGA設(shè)計(jì)及應(yīng)用,西安電子科技大學(xué)出版社。[18] 路而紅,電子設(shè)計(jì)自動(dòng)化應(yīng)用技術(shù)FPGA應(yīng)用篇,高等教育出版社。[19] 譚會(huì)生,翟逐春,EDA技術(shù)綜合應(yīng)用實(shí)例與分析,西安電子科技大學(xué)出版社。[20] 李云,候傳教,VHDL電路設(shè)計(jì)實(shí)用教程,機(jī)械工業(yè)出版社。[21] 陳學(xué)英,F(xiàn)PGA應(yīng)用實(shí)驗(yàn)教程,北京國(guó)防工業(yè)出版社。附 錄附錄一:模塊程序LIBRARY IEEE。USE 。 ENTITY CNT10 IS PORT (CLK:IN STD_LOGIC。 計(jì)數(shù)時(shí)鐘信號(hào) CLR:IN STD_LOGIC。 清零信號(hào) ENA:IN STD_LOGIC。 計(jì)數(shù)使能信號(hào) CQ :OUT INTEGER RANGE 0 TO 15。 4位計(jì)數(shù)結(jié)果輸出 CARRY_OUT:OUT STD_LOGIC)。 計(jì)數(shù)進(jìn)位END CNT10。ARCHITECTURE ART OF CNT10 IS SIGNAL CQI :INTEGER RANGE 0 TO 15。BEGINPROCESS(CLK,ENA) ISBEGIN IF CLR= 39。139。 THEN CQI= 0。 計(jì)數(shù)器異步清零 elsIF CLK39。EVENT AND CLK= 39。139。 THEN IF ENA= 39。139。 THEN iF CQI=9 THEN cqi=0。 ELSE CQI=cqi+1。 END IF。 等于9,則計(jì)數(shù)器清零 END IF。 END IF。END PROCESS。PROCESS (CQI) IS BEGIN IF CQI=9 THEN CARRY_OUT= 39。139。 進(jìn)位輸出 ELSE CARRY_OUT= 39。039。 END IF。END PROCESS。 CQ=CQI。END ART。library ieee。use 。use 。use 。entity fenpin1 is port( clk : in std_logic。 時(shí)鐘輸入50Mhz clk1Mhz : out std_logic 鎖存、顯示輸出允許 )。 end fenpin1。architecture behave of fenpin1 isbegin process(clk) 1mHZ信號(hào)產(chǎn)生 variable ttemp : INTEGER RANGE 0 TO 50。 begin IF clk=39。139。 AND clk39。event THEN IF ttemp=49 THEN ttemp:=0。 ELSE IF ttemp25 THEN clk1Mhz=39。139。 ELSE clk1Mhz=39。039。 END IF。 ttemp:=ttemp+1。 END IF。 end if。 end process。end。 library ieee。use 。use 。use 。entity fenpin is port( clk : in std_logic。 時(shí)鐘輸入1Mhz clk1hz : out std_logic。 clk400hz : out std_logic 。 鎖存、顯示輸出允許 clk200hz : out std_logic )。 end fenpin。architecture behave of fenpin isbegin process(clk) 1HZ信號(hào)產(chǎn)生此處去掉了rst variable ttemp : INTEGER RANGE 0 TO 999999。把999999改成了100 begin IF clk=39。139。 AND clk39。event THEN IF ttemp=999999 THEN ttemp:=0。 ELSE IF ttemp500000 THEN clk1hz=39。139。 ELSE clk1hz=39。039。 END IF。 ttemp:=ttemp+1。 END IF。 end if。 end process。process(clk) 1HZ信號(hào)產(chǎn)生此處去掉了rst variable tt : INTEGER RANGE 0 TO 9999。把999999改成了100 begin IF clk=39。139。 AND clk39。event THEN IF tt=2499 THEN tt:=0。 ELSE IF tt1250 THEN clk400hz=39。139。 ELSE clk400hz=39。039。 END IF。 tt:=tt+1。 END IF。 end if。 end process。process(clk) 200HZ信號(hào)產(chǎn)生此處去掉了rst variable t : INTEGER RANGE 0 TO 5000。 begin IF clk=39。139。 AND clk39。event THEN IF t=4999 THEN t:=0。 ELSE IF t2500 THEN clk200hz=39。139。 ELSE clk200hz=39。039。 END IF。 t:=t+1。 END IF。 end if。 end process。end。library ieee。use 。use 。use 。entity teltcl is port( Clk : in std_logic。 時(shí)鐘輸入1Mhz ena : out std_logic。 允許計(jì)數(shù) clr : out std_logic。 計(jì)數(shù)器清零信號(hào)產(chǎn)生 load : out std_logic 鎖存、顯示輸出允許 )。 end teltcl。architecture behave of teltcl is signal count : std_logic_vector(2 downto 0)。6秒計(jì)數(shù) signal clr1 :std_logic。清零信號(hào) signal ena1 :std_logic。允許計(jì)數(shù)信號(hào) signal load1 :std_logic。允許計(jì)數(shù)信號(hào) signal cq1,cq2,cq3,cq4 : INTEGER RANGE 0 TO 15。計(jì)數(shù)數(shù)據(jù) begin process(Clk)6秒計(jì)數(shù) begin if(Clk39。event and Clk=39。139。) then count=count+1。 if count6 then ena1=39。139。load1=39。039。clr1=39。039。 elsif count=6 then load1=39。139。ena1=39。039。clr1=39。039。 elsif count=7 then ena1=39。039。load1=39。039。clr1=39。139。 end if。 end if。 ena=ena1。 load=load1。clr=clr1。clr=rst。 end process。end behave。LIBRARY IEEE。use 。use 。entity display isport(in3,in2,in1,in0:in std_logic_vector(3 downto 0)。 lout7:out std_logic_vector(6 downto 0)。 SEL:OUT STD_LOGIC_VECTOR(2 DOWNTO 0)。 clk:in std_logic )。end display。architecture phtao of display issignal s:std_logic_vector(2 downto 0)。signal lout4:std_logic_vector(3 downto 0)。begin