freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

數(shù)字邏輯課程設(shè)計(jì)報(bào)告--多功能數(shù)字鐘-資料下載頁(yè)

2025-01-18 21:53本頁(yè)面
  

【正文】 in if clk39。event and clk=39。139。then q=q+1。 end if。 end process。 hz512=q(0)。 hz256=q(1)。 hz64=q(3)。 hz4=q(7)。 hz1=q(9)。end f。 生成器件: (4)報(bào)時(shí)模塊: 報(bào)時(shí)器源程序:library ieee。use 。use 。use 。entity alert is port(m1,m0,s1,s0 : in std_logic_vector(3 downto 0)。 sig500,sig1k : out std_logic)。end alert。architecture a of alert issignal q : std_logic_vector(15 downto 0)。signal s500,s1k : std_logic。begin q(15 downto 12)=m1。 q(11 downto 8)=m0。 q(7 downto 4)=s1。 q(3 downto 0)=s0。 hring : block begin s500=39。139。 when q=0101100101010000 else 39。139。 when q=0101100101010010 else 39。139。 when q=0101100101010100 else 39。139。 when q=0101100101010110 else 39。139。 when q=0101100101011000 else 39。039。 s1k=39。139。 when q=0000000000000000 else 39。039。end block hring。sig500=s500。sig1k=s1k。end a。生成器件: 鬧鐘報(bào)時(shí)系統(tǒng):模塊說(shuō)明:由于clk的頻率為1024hz,所以可以定義一個(gè)std_logic_vector(9 downto 0),使它不停地從0000000000加到1111111111然后又返回0000000000,由于最低位在clk脈沖到來(lái)時(shí)從0變?yōu)?,然后又在下一個(gè)脈沖變回0,因此最低位的時(shí)鐘周期為clk的時(shí)鐘周期的兩倍,它的頻率就為clk頻率的確1/2即512hz。同理,次高位的頻率就為clk頻率的1/2 * 1/2 = 1/4,用這種方法就可以得到各種能整除1024的頻率,從而實(shí)現(xiàn)分頻。(5)二路選擇器 源程序: LIBRARY IEEE。USE 。ENTITY mux21 IS PORT ( a , b ,s: IN STD_LOGIC 。 y : OUT STD_LOGIC )。END ENTITY mux21。ARCHITECTURE one OF mux21 IS BEGIN PROCESS(a,b,s) BEGIN IF s = 39。039。 THEN y=a。 ELSE y=b。 END IF。 END PROCESS。 END ARCHITECTURE one。 生成器件:五.課程設(shè)計(jì)感想:
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1