freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda課程設(shè)計(jì)---出租車計(jì)費(fèi)器設(shè)計(jì)-資料下載頁(yè)

2025-01-18 12:55本頁(yè)面
  

【正文】 完成了任務(wù),我意識(shí)到今后不管是在工作還是學(xué)習(xí)中,做工程項(xiàng)目都需要有忍耐力和堅(jiān)持。最后感謝學(xué)院給我們提供這次課程設(shè)計(jì)的條件,感謝各位指導(dǎo)老師在EDA基礎(chǔ)課程設(shè)計(jì)過(guò)程中給予我的幫助和指導(dǎo)!參考文獻(xiàn)[1]《SOPCIIEDA實(shí)驗(yàn)指導(dǎo)書(shū)》(第二版)[2]《SOPCII使用手冊(cè)》(第二版)[3] [4]《EDA技術(shù)基礎(chǔ)》. 譚會(huì)生編著. 湖南大學(xué)出版社,2004[5]《EDA技術(shù)實(shí)用教程(第三版),潘松、黃繼業(yè)編著 ,科學(xué)出版社 ,2010 附錄 出租車計(jì)費(fèi)器VHDL源程序library ieee。use 。use 。use 。entity ouyangbo is port( Clk : in std_logic。 時(shí)鐘輸入 Rst : in std_logic。 復(fù)位輸入 Motor : in std_logic。 電機(jī)脈沖輸入 dn : in std_logic 。 Display : out std_logic_vector(7 downto 0)。 七段碼管顯示輸出 SEG_SEL : buffer std_logic_vector(2 downto 0)。 七段碼管掃描驅(qū)動(dòng) keyc : out std_logic_vector(3 downto 0)。 點(diǎn)陣列控制 keyr : out std_logic_vector(15 downto 0) )。 點(diǎn)陣行顯示 end ouyangbo。architecture behave of ouyangbo is signal Disp_Temp : integer range 0 to 15。 signal Disp_Decode: std_logic_vector(7 downto 0)。 signal Meter1,Meter10,Meter100,Meter1K : integer range 0 to 9。 signal Money1,Money10,Money100 : integer range 0 to 9。 signal Old_Money1 : integer range 0 to 9。 signal cdount : std_logic_vector(3 downto 0)。 signal dount : std_logic_vector(8 downto 0)。 signal S : std_logic_vector(3 downto 0)。 begin process(Motor,Rst) 計(jì)里程 begin if(Rst=39。039。) then Meter1=0。 Meter10=0。 Meter100=0。 Meter1K=0。 elsif(Motor39。event and Motor=39。139。) then if(Meter1=9) then Meter1=0。 if(Meter10=9) then Meter10=0。 if(Meter100=9) then Meter100=0。 if(Meter1K=9) then Meter1K=0。 else Meter1K=Meter1K+1。 end if。 else Meter100=Meter100+1。 end if。 else Meter10=Meter10+1。 end if。 else Meter1=Meter1+1。 end if。 end if。 end process。 process(Clk,Rst,dn) 計(jì)費(fèi)模塊 begin if(Rst=39。039。) then Money1=0。 Money10=0。 Money100=0。 elsif(Motor39。event and Motor=39。139。) then if( Meter102 and Meter1001 and Meter1k1) then 里程小于2Km時(shí)顯示起步價(jià) Money100=0。 Money10=0。 Money1=6。 起步價(jià)6元 Old_Money1=0。else if (dn=39。039。 and Meter1002 and Meter1k0) then if (Old_Money1=9) then Old_Money1=0。 白天 if (Money1=9) then Money1=0。 if(Money10=9) then Money10=0。 if(Money100=9) then Money100=0。 else Money100=Money100+1。 end if。 else Money10=Money10 +1。 end if。 else Money1=Money1 +1。 end if。 else Old_Money1= Old_Money1 +2。 續(xù)程單價(jià)每公里2元 end if。 end if。 if (dn=’0’ and (Meter1001 or Meter1k0)) then 白天超過(guò)20km加收返程費(fèi) if (Old_Money1=9) then Old_Money1=0。 if (Money1=9) then Money1=0。 if(Money10=9) then Money10=0。 if(Money100=9) then Money100=0。 else Money100=Money100+1。 end if。 else Money10=Money10 +1。 end if。 else Money1=Money1 +1。 end if。 else Old_Money1= Old_Money1 +3。 加收返程費(fèi) end if。 end if。 if (dn=39。139。 and Meter1002 and Meter1k0) then if (Old_Money1=9) then Old_Money1=0。 夜間 if (Money1=9) then Money1=0。 if(Money10=9) then Money10=0。 if(Money100=9) then Money100=0。 else Money100=Money100+1。 end if。 else Money10=Money10 +1。 end if。 else Money1=Money1 +1。 end if。 else Old_Money1= Old_Money1 +3。 續(xù)程單價(jià)每公里3元 end if。 end if。 if (dn=39。139。 and (Meter1001 or Meter1k0)) then 夜間超過(guò)20km加收返程費(fèi) if (Old_Money1=9) then Old_Money1=0。 if (Money1=9) then Money1=0。 if(Money10=9) then Money10=0。 if(Money100=9) then Money100=0。 else Money100=Money100+1。 end if。 else Money10=Money10 +1。 end if。 else Money1=Money1 +1。 end if。 else Old_Money1= Old_Money1 +4。 加收的返程費(fèi) end if。 end if。 end if。 end if。 end process。 process(SEG_SEL) 顯示 begin case (SEG_SEL+1) is when 000=Disp_Temp=Meter1K。 when 001=Disp_Temp=Meter100。 when 010=Disp_Temp=Meter10。 when 011=Disp_Temp=Meter1。 when 100=Disp_Temp=10。 when 101=Disp_Temp=Money100。 when 110=Disp_Temp=Money10。 when 111=Disp_Temp=Money1。 end case。 end process。 process(Clk) begin if(Clk39。event and Clk=39。139。) then 掃描累加 SEG_SEL=SEG_SEL+1。 if(SEG_SEL=3) then Display=Disp_Decode or 1000000。 else Display=D
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1