freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

電子實(shí)驗(yàn)課程設(shè)計(jì)電子秒表設(shè)計(jì)-資料下載頁(yè)

2025-01-13 14:30本頁(yè)面
  

【正文】 end if。end process。countout=count。carryout=39。139。 when carryin=39。139。 and count=0101 else39。039。end Behavioral。顯示模塊library IEEE。use 。use 。use 。entity MLUTIXXX is Port ( clk : in STD_LOGIC。 mh : in STD_LOGIC_VECTOR (3 downto 0)。 ml : in STD_LOGIC_VECTOR (3 downto 0)。 sh : in STD_LOGIC_VECTOR (3 downto 0)。 sl : in STD_LOGIC_VECTOR (3 downto 0)。 ds : in STD_LOGIC_VECTOR (3 downto 0)。 cs : in STD_LOGIC_VECTOR (3 downto 0)。 sel : out STD_LOGIC_VECTOR (7 downto 0)。 led : out STD_LOGIC_VECTOR (6 downto 0))。end MLUTIXXX。architecture Behavioral of MLUTIXXX issignal t:STD_LOGIC_VECTOR (2 downto 0):=000。signal data:STD_LOGIC_VECTOR (3 downto 0)。begin jishu6:process(clk) begin if clk39。 event and clk=39。139。 then if t=101 then t=000。 else t=t+1。 end if。 end if。 end process。 shuxuan:process(t,mh,ml,sh,sl,ds,cs) begin case t is when 000=data=cs。sel=11101111。 when 001=data=ds。sel=11011111。 when 010=data=sl。sel=01111111。 when 011=data=sh。sel=11111110。 when 100=data=ml。sel=11111011。 when others=data=mh。sel=11110111。 end case。 end process。 duanxuan:process(data) begin led=1111111。 case data is when 0000=led=0000001。 when 0001=led=1001111。 when 0010=led=0010010。 when 0011=led=0000110。 when 0100=led=1001100。 when 0101=led=0100100。 when 0110=led=0100000。 when 0111=led=0001111。 when 1000=led=0000000。 when 1001=led=0000100。 when others=null。 end case。 end process。 end Behavioral。寄存器模塊library IEEE。use 。use 。use 。entity jicq is Port ( anjian : in STD_LOGIC。 reset : in STD_LOGIC。 mhin : in STD_LOGIC_VECTOR (3 downto 0)。 mlin : in STD_LOGIC_VECTOR (3 downto 0)。 shin : in STD_LOGIC_VECTOR (3 downto 0)。 slin : in STD_LOGIC_VECTOR (3 downto 0)。 dsin : in STD_LOGIC_VECTOR (3 downto 0)。 csin : in STD_LOGIC_VECTOR (3 downto 0)。 mhout : out STD_LOGIC_VECTOR (3 downto 0)。 mlout : out STD_LOGIC_VECTOR (3 downto 0)。 shout : out STD_LOGIC_VECTOR (3 downto 0)。 slout : out STD_LOGIC_VECTOR (3 downto 0)。 dsout : out STD_LOGIC_VECTOR (3 downto 0)。 csout : out STD_LOGIC_VECTOR (3 downto 0))。end jicq。architecture Behavioral of jicq issignal mh1out,ml1out,sh1out,sl1out,ds1out,cs1out:std_logic_vector(3 downto 0)。signal mh2out,ml2out,sh2out,sl2out,ds2out,cs2out:std_logic_vector(3 downto 0)。signal mh3out,ml3out,sh3out,sl3out,ds3out,cs3out:std_logic_vector(3 downto 0)。signal mh4out,ml4out,sh4out,sl4out,ds4out,cs4out:std_logic_vector(3 downto 0)。signal mh11out,ml11out,sh11out,sl11out,ds11out,cs11out:std_logic_vector(3 downto 0)。signal count:std_logic_vector(3 downto 0):=0000。beginprocess(anjian,reset) begin if reset=39。139。 then count=0000。 elsif anjian39。event and anjian=39。139。 then if count=1000 then count=0000。 else count=count+1。 end if。 end if。end process。process(count,mhin,mlin,shin,slin,dsin,csin)begin case count is when 0000 = mh1out=mhin。ml1out=mlin。sh1out=shin。sl1out=slin。ds1out=dsin。cs1out=csin。mh11out=mhin。ml11out=mlin。sh11out=shin。sl11out=slin。ds11out=dsin。cs11out=csin。 when 0001= mh2out=mhin。ml2out=mlin。sh2out=shin。sl2out=slin。ds2out=dsin。cs2out=csin。mh11out=mhin。ml11out=mlin。sh11out=shin。sl11out=slin;ds11out=dsin。cs11out=csin。 when 0010= mh3out=mhin。ml3out=mlin。sh3out=shin。sl3out=slin。ds3out=dsin。cs3out=csin。mh11out=mhin。ml11out=mlin。sh11out=shin。sl11out=slin。ds11out=dsin。cs11out=csin。 when 0011= mh4out=mhin。ml4out=mlin。sh4out=shin。sl4out=slin。ds4out=dsin。cs4out=csin。mh11out=mhin。ml11out=mlin。sh11out=shin。sl11out=slin。ds11out=dsin。cs11out=csin。 when 0100= mh11out=mh1out。ml11out=ml1out。sh11out=sh1out。sl11out=sl1out。ds11out=ds1out。cs11out=cs1out。 when 0101= mh11out=mh2out。ml11out=ml2out。sh11out=sh2out。sl11out=sl2out。ds11out=ds2out。cs11out=cs2out。 when 0110= mh11out=mh3out。ml11out=ml3out。sh11out=sh3out。sl11out=sl3out。ds11out=ds3out。cs11out=cs3out。 when 0111= mh11out=mh4out。ml11out=ml4out。sh11out=sh4out。sl11out=sl4out。ds11out=ds4out。cs11out=cs4out。 when others= mh11out=mhin。ml11out=mlin。sh11out=shin。sl11out=slin。ds11out=dsin。cs11out=csin。end case。end process。mhout=mh11out。mlout=ml11out。shout=sh11out。slout=sl11out。dsout=ds11out。csout=cs11out。end Behavioral。使能模塊library IEEE。use 。use 。use 。entity shineng is Port ( s : in STD_LOGIC。 e : out STD_LOGIC)。end shineng。architecture Behavioral of shineng issignal e1:STD_LOGIC:=39。039。beginprocess(s)begin if s39。 event and s=39。139。 then e1=not e1。 end if。end process。e=e1。end Behavioral。按鍵消抖library IEEE。use 。use 。use 。entity xd is Port ( clk : in STD_LOGIC。 keyin : in STD_LOGIC。 keyout : out STD_LOGIC)。end xd。architecture Behavioral of xd issignal t1 : STD_LOGIC_VECTOR(1 downto 0)。signal k11,k12 : STD_LOGIC。beginp1 : process(clk,keyin) begin if clk39。event and clk = 39。139。 then if t1 = 3 then k11 = 39。139。 else k11 = 39。039。 t1 = t1 + 1。 end if。 k12 = k11。 end if。 if keyin = 39。039。 then t1 = 00。 end if。 end process。p2 : keyout = (not k11) and k12。end Behavioral。33
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1