freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的實(shí)用電子砰設(shè)計(jì)論-資料下載頁(yè)

2025-06-03 08:13本頁(yè)面
  

【正文】 模塊由 LED譯碼和 LCD譯碼組成 ,主要是將各信息量轉(zhuǎn)換成相應(yīng)的顯示代碼。 LED譯碼模塊是將數(shù)字量轉(zhuǎn)換為 BCD碼來(lái)驅(qū)動(dòng)數(shù)碼管。 采用動(dòng)態(tài)顯示,因此采用 VHDL狀態(tài)機(jī)設(shè)計(jì),共分為八個(gè)狀態(tài),即八個(gè)數(shù)碼管的位碼依次選通 點(diǎn)亮數(shù)碼管。 用 FPGA驅(qū)動(dòng) 液晶顯 示 的原理是對(duì) LM3037進(jìn)行控制,通過(guò) 8位的總線,先對(duì) LM3037進(jìn)行配置,即寫入命令,然后輸入要顯示的內(nèi)容,即寫入數(shù)據(jù),狀態(tài)轉(zhuǎn)換圖如圖 。 圖 液晶驅(qū)動(dòng)程序狀態(tài)轉(zhuǎn)換圖 5. 鍵盤控制模塊 鍵盤控制電路由 16個(gè)按 鍵組成,其中數(shù)據(jù)鍵 10個(gè),控制鍵 6個(gè)。 鍵盤控制程序的設(shè)計(jì)將各按鍵設(shè)置為特定的功能,使用起來(lái)十分方便??梢酝ㄟ^(guò)鍵盤設(shè)置日期、時(shí)間、商品代號(hào)、單價(jià)等不同信息。 程序 設(shè)計(jì) 頂層映射原理 系統(tǒng)的程序設(shè)計(jì)是基于 VHDL的模塊化設(shè)計(jì), 通過(guò) ISE工程編輯器( Project navigator) 產(chǎn)生原理圖模塊( Create Schematic Symbol) 或自動(dòng)產(chǎn)生頂層映射的原理圖( View RTL Schematic) ,如圖 程序清單及仿真 程序清單(見(jiàn)附錄) 。 設(shè)計(jì) 輸入利用 VHDL語(yǔ)言完成后,接下來(lái)是對(duì)程序進(jìn)行功能仿真( Function Simulation) 其仿真的目的是檢查程序是否有錯(cuò),是否達(dá)到預(yù)定的目標(biāo)。之后是時(shí)序仿真( Timing Simulation),其目的是通過(guò)時(shí)序可以更清楚的了解程序的工作過(guò)程,在較復(fù)雜的系統(tǒng)中時(shí)序仿真是必不可少的。 數(shù)據(jù) RAM 乘法 模塊 接收 AD 轉(zhuǎn)換數(shù)據(jù) 電壓 重量 轉(zhuǎn)換模塊 至譯碼模塊 判斷 模塊 報(bào)警信號(hào) 鍵盤控制 基于 FPGA 的電子稱設(shè)計(jì) 第 20 頁(yè) 共 33 頁(yè) 各模塊的時(shí)序仿真圖見(jiàn)附錄。 圖.6 電子稱程序設(shè)計(jì)頂層映射原理圖 基于 FPGA 的電子稱設(shè)計(jì) 第 21 頁(yè) 共 33 頁(yè) 第四章 系統(tǒng)測(cè)試 在系統(tǒng)硬件和軟件都安裝調(diào)試好后,便可以進(jìn)行軟件和硬件的綜合測(cè)試,并對(duì)測(cè)試的誤差進(jìn)行分析。 測(cè)試儀器 清單 測(cè) 試使用的儀器設(shè)備如表 所示。 表 測(cè)試使用的儀器設(shè)備 序號(hào) 名稱 型號(hào) 數(shù)量 備注 1 3 位半數(shù)字萬(wàn)用表 UNIT 1 深圳勝利公司 2 直流穩(wěn)壓電源 DF1731SC2A 1 江蘇揚(yáng)中電子儀器廠 3 信號(hào)發(fā)生器 GFG8216A 1 南京無(wú)線電儀器廠 4 雙蹤示波器 YB4365 1 江蘇揚(yáng)中電子儀器廠 5 FPGA 實(shí)驗(yàn)儀 DPFPGA 1 廣州致遠(yuǎn)電子有限公司 在 系統(tǒng)硬件焊接完成及軟件功能仿真、下載成功之后。接下來(lái)對(duì)整 個(gè)系統(tǒng)進(jìn)行調(diào)試,其方法如下: 將傳感器放平,接好電路, 使用直流穩(wěn)壓電源( DF1731SC2A) 為 FPGA及其他電路提供 +5V電壓, 為傳感器提供 12V電壓, 不放任砝碼時(shí)看重量顯示是否為零,不為零則調(diào)整電位器,改變放大器的放大倍數(shù),使 重量值為零,加上不同的砝碼,記下顯示的重量 Mx(Kg)。最后進(jìn)行系統(tǒng)誤差計(jì)算。 在測(cè)試過(guò)程中 根據(jù)出現(xiàn)的問(wèn)題改進(jìn)電路 以及程序。 反復(fù)進(jìn)行直到成功。 圖 系統(tǒng)測(cè)試 結(jié)果顯示 測(cè)試結(jié)果 和 誤差 分析 測(cè)試結(jié)果 根據(jù)上節(jié)的測(cè)試方法 ,設(shè) M為砝碼的質(zhì)量 ,Mx 為電子稱顯示的重量 ,測(cè)試后得到表 試結(jié)果 . 表 測(cè)量結(jié)果數(shù)據(jù)表 序號(hào) 砝碼質(zhì)量 /M( g) 顯示質(zhì)量 /Mx(g) 誤差 (g) 相對(duì)誤差 % 1 50 % 2 100 0 0 0002 西瓜 元 2021 年 8 月 2 日 10: 25 LCD 顯示 重量( Kg) 金額(元) LED 顯示 基于 FPGA 的電子稱設(shè)計(jì) 第 22 頁(yè) 共 33 頁(yè) 3 150 0 0 4 200 0 0 5 250 % 6 300 0 0 7 350 0 0 8 400 % 誤差計(jì)算 及分析 表中 誤差為相對(duì)誤差 ,其計(jì)算公式為 (試 ) 由上式可以看出,系統(tǒng)的測(cè)量誤差取決于 兩個(gè)量 M、 Mx,計(jì)算得出的數(shù)據(jù)越大,說(shuō)明誤差越 大,系統(tǒng)精度越低,從表只可以看出,系統(tǒng)的誤差小于 % 遠(yuǎn)小于設(shè)計(jì) 要求的誤差。 第五章 設(shè)計(jì)總結(jié) 設(shè)計(jì) 采用 FPGA最小系統(tǒng)為控制核心,實(shí)現(xiàn)了一款具有特色的實(shí)用電子稱。 通過(guò)測(cè)試,系統(tǒng)完全達(dá)到了設(shè)計(jì)要求,不但完成了基本要求,發(fā)揮部分的要求,并增加了語(yǔ)音提示、時(shí)鐘和過(guò)載提示三個(gè)創(chuàng)新功能。 經(jīng)過(guò)幾天的努力實(shí)踐,不斷的測(cè)試, 不斷的改進(jìn)電路或程序,最后完成了設(shè)計(jì)。在設(shè)計(jì)過(guò)程中, 我們不僅僅是完成了設(shè)計(jì)任務(wù),更重要的是學(xué)到很多課本上沒(méi)有的知識(shí), 同時(shí)也特別感謝各位老師 和同學(xué)的幫助和支持,使我們這次設(shè)計(jì)能順利完成。 參考文獻(xiàn) 1. 潘松 , 黃繼業(yè) . EDA技術(shù)實(shí)用教程 . 北京 : 科學(xué)出版社 , 2021 年 10 月第一版 2. 肖景和 . 數(shù)字集成電路應(yīng)用精粹 . 北京 : 人民郵電出版社, 2021 年 6 月第 1 版 3. 陳永甫 . 電子電路智能化設(shè)計(jì)實(shí)例與應(yīng)用 . 北京 : 電子工業(yè)出版社, 2021 年 8 月第 1 版 4. 李輝 . ISP 系統(tǒng)設(shè)計(jì)技術(shù)入門與應(yīng)用 . 北京 : 電子工業(yè)出版社, 2021 年 2 月第 1 版 5. 曾凡泰 , 陳美金著 . VHDL 程序設(shè)計(jì) . 北京 :清華大大學(xué)學(xué)出版社, 2021 年 1 月第 2 版 6. 黃智偉 . 全國(guó)大學(xué)生電子設(shè)計(jì)競(jìng)賽訓(xùn)練教程 . 北京 : 電子工業(yè)出版式社, 2021 年 1月第 1 版 %100||%100|| ?????? M MMxMM? 基于 FPGA 的電子稱設(shè)計(jì) 第 23 頁(yè) 共 33 頁(yè) 附錄1 主要 元 器件清單 作用 器件型號(hào) 個(gè)數(shù) 封裝 放大器 INA126 1 DIP8 放大器 LM386 1 DIP8 放大器 AD711 1 DIP8 AD 轉(zhuǎn)換 TLC7135 1 DIP16 時(shí)鐘芯片 PCF8563 1 DIP8 語(yǔ)音芯片 ISD1420 1 DIP28 FPGA最小系統(tǒng) SpartanⅡ EXC2S100E 1 DIP40 譯碼器 74HC138 1 DIP16 I/O 擴(kuò)展 8255 1 DIP40 鍵盤控制 ZLG7289 1 DIP28 3289 電位器 100K 4 10K 4 100 歐 2 200 歐 2 晶振 1 12M 1 鎖存器 74HC373 2 DIP20 壓力傳感器 LS-1稱重傳感器 1 附錄 2 印制板圖 檢測(cè)部分底層印制板圖 (包括前置放大和 AD 轉(zhuǎn)換部分 ) 檢測(cè)部分頂層印制板圖 基于 FPGA 的電子稱設(shè)計(jì) 第 24 頁(yè) 共 33 頁(yè) FPGA控制板印制板圖 附錄 3,程序清單 1 頂層文件 Engineer: 徐文卿,藍(lán)仁富,唐正宇 Design Name: 電子稱 Module Name: TOP Project Name: 電子稱 Target Device: Tool versions: Description: 帶時(shí)鐘功能,同時(shí)顯示商品單價(jià),總價(jià),重量等信息。 library IEEE。 use 。 use 。 use 。 entity top is port(clkin : in std_logic。 時(shí)鐘輸入 reset : in std_logic。 復(fù)位信號(hào) keynum : in std_logic_vector(9 downto 0)。 數(shù)字鍵輸入 key : in std_logic_vector(5 downto 0)。 命令鍵輸入 clkout1 : out std_logic。 給 ICL7135 時(shí)鐘信號(hào) din : in std_logic_vector(4 downto 0)。 ICL7135 位選 bin : in std_logic_vector(3 downto 0)。 ICL7135 數(shù)據(jù) str : in std_logic。 ICL7135 輸出指示信號(hào) scl : out std_logic。 PCF8563 時(shí)鐘芯片 SCL sda : inout std_logic。 PCF8563 時(shí)鐘芯片 SDA rs : out std_logic。 液晶輸出控制口 RS rw : out std_logic。 液晶輸出控制口 RW e : out std_logic。 液晶使能口 E rst : out std_logic。 液晶復(fù)位 psb : out std_logic。 工作時(shí)置高電平 lcddata : out std_logic_vector(7 downto 0)。 液晶數(shù)據(jù)口 leden : out std_logic_vector(2 downto 0)。 數(shù)碼管位選口 leddata : out std_logic_vector(7 downto 0) 數(shù)碼管段碼口 )。 end top。 architecture Behavioral of top is ponent keyboard is 鍵盤模塊 port(clkin : in std_logic。 reset : in std_logic。 基于 FPGA 的電子稱設(shè)計(jì) 第 25 頁(yè) 共 33 頁(yè) keynum : in std_logic_vector(9 downto 0)。 key : in std_logic_vector(5 downto 0)。 codewei : out std_logic_vector(1 downto 0)。 code : out std_logic_vector(15 downto 0) )。 end ponent。 ICL7135 模塊 ponent icl7135 is port(clkin : in std_logic。 reset : in std_logic。 din : in std_logic_vector(4 downto 0)。 bin : in std_logic_vector(3 downto 0)。 str : in std_logic。 leddata : out std_logic_vector(15 downto 0) )。 end ponent。 ponent munication is PCF8563 時(shí)鐘芯片模塊 port(scl : out std_logic。 sda : inout std_logic。 clkin : in std_logic。 reset : in std_logic。 lcddata : out std_logic_vector(31 downto 0)。 point : out std_logic )。 end ponent。 ponent fenpin is 分頻模塊
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1