freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

多路搶答器設(shè)計(jì)eda課程設(shè)計(jì)(編輯修改稿)

2025-07-13 02:07 本頁面
 

【文章內(nèi)容簡介】 秒的倒計(jì)時(shí),并且在30秒倒計(jì)時(shí)后無人搶答顯示超時(shí)并報(bào)警。其中有搶答時(shí)鐘信號clk2;系統(tǒng)復(fù)位信號rst;搶答使能信號s;搶答狀態(tài)顯示信號states;無人搶答警報(bào)信號warn;計(jì)時(shí)中止信號stop;計(jì)時(shí)十位和個(gè)位信號tb,ta。(三)數(shù)據(jù)選擇模塊VHDL源程序library ieee。use 。use 。use 。entity sjxz is port (a,b,c: in std_logic_vector(3 downto 0)。clk2,rst: in std_logic。 s: out std_logic_vector(1 downto 0)。 y: out std_logic_vector(3 downto 0) )。 end sjxz。architecture body_chooser of sjxz is signal count: std_logic_vector (1 downto 0)。 begin s=count。 process(clk2,rst) begin if(rst=39。039。)then count=00。 elsif(clk239。event and clk2=39。139。)then if(count=10)then count=00。 else count=count+1。 end if。end if。case count is when 00=y=a。 when 01=y=b。 when 10=y=c。 when others=null。 end case。 end PROCESS。 end body_chooser。仿真圖數(shù)據(jù)選擇模塊圖在這個(gè)模塊中主要實(shí)現(xiàn)搶答過程中的數(shù)據(jù)輸入功能,輸入信號a[3..0]、b[3..0]、c[3..0];計(jì)數(shù)輸出信號s;數(shù)據(jù)輸出信號y;計(jì)數(shù)脈沖clk2,實(shí)現(xiàn)a、b、c按脈沖輪流選通,在數(shù)碼管上顯示。(四)報(bào)警模塊VHDL源程序LIBRARY IEEE。USE 。USE 。ENTITY ALARM ISPORT(CLK,I:IN STD_LOGIC。 Q:OUT STD_LOGIC)。END ALARM。ARCHITECTURE BEHAVE OF ALARM IS SIGNAL WARN:STD_LOGIC。 SIGNAL N:INTEGER RANGE 0 TO 20。BEGIN Q= WARN。 PROCESS(CLK) BEGIN IF CLK39。EVENT AND CLK=39。139。 THEN IF I=39。039。 THEN WARN =39。039。 ELSIF(I=39。139。AND N=19)THEN WARN =NOT WARN。 N=N+1。 ELSE WARN =39。039。 END IF。 END IF。END PROCESS。END BEHAVE。仿真圖報(bào)警模塊圖在這個(gè)模塊中主要實(shí)現(xiàn)搶答過程中的報(bào)警功能,當(dāng)主持人按下控制鍵,有限時(shí)間內(nèi)如果人搶答或是計(jì)數(shù)到時(shí)蜂鳴器開始報(bào)警,有效電平輸入信號i;狀態(tài)輸出信號q;計(jì)數(shù)脈沖clk2。(五)譯碼模塊VHDL源程序LIBRARY IEEE。USE 。USE 。ENTITY YMQ IS PORT(AIN4: IN STD_LOGIC_VECTOR(3 DOWNTO 0)。 DOUT7: OUT STD_LOGIC_VECTOR(6 DOWNTO 0))。END YMQ。ARCHITECTURE ART OF YMQ ISBEGIN PROCESS(AIN4) BEGIN CASE AIN4 IS WHEN 0000=DOUT7=1111110。 0
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1