【文章內(nèi)容簡(jiǎn)介】
信號(hào)比較容易鎖定,而對(duì)于低頻率的信號(hào)可能會(huì)因?yàn)殡娐飞系囊恍﹩?wèn)題,如導(dǎo)線的干擾什么的,不容易調(diào)節(jié)到鎖定的狀態(tài)。 XR2211板的調(diào)試 1.?dāng)嚯娬{(diào)試:沒(méi)接通電源之前,對(duì)照原理圖檢查焊接電路有無(wú)短路現(xiàn)象,有無(wú)虛焊的點(diǎn),檢查元?dú)饧袩o(wú)破壞。沒(méi)有的話(huà)就要通電調(diào)試 2.通電調(diào)試 接通電源,在信號(hào)的輸入端接一個(gè)信號(hào)發(fā)生器上過(guò)來(lái)的信號(hào),輸出接示波器調(diào)節(jié)輸入信號(hào)的頻率,看輸入信號(hào)的頻率在100K的時(shí)候鎖相環(huán)能否鎖定,如果能鎖定的話(huà),出來(lái)的信號(hào)是個(gè)高電平,則不在100K的時(shí)候出來(lái)的是低電平,在實(shí)際的中不能鎖定,實(shí)際中,信號(hào)能夠鎖定,有信號(hào)輸出,原因是設(shè)計(jì)電路中所說(shuō)的此電路適合相對(duì)頻率較低信號(hào)的解調(diào)。 把XR2211板和調(diào)制的板子相接,輸出端接示波器,調(diào)制板接2KHZ的方波信號(hào),接通電源,調(diào)節(jié)電路上電位器,調(diào)節(jié)鎖定頻率,示波器接雙通道,一通道接調(diào)制板的輸入2KHZ的方波信號(hào),二通道接解調(diào)板的輸出信號(hào),比較兩信號(hào),理論上兩信號(hào)是同頻率的方波,實(shí)驗(yàn)中的兩個(gè)信號(hào)有一定的時(shí)延,是一種正常的現(xiàn)象,調(diào)節(jié)電位器還是不能夠達(dá)到完全沒(méi)有時(shí)延,于是就不在調(diào)節(jié)了,電路已經(jīng)實(shí)現(xiàn)了解調(diào)的功能,完成了設(shè)計(jì)的目的。達(dá)到基本的要求。 第5章 總結(jié) 結(jié)束語(yǔ) 本次設(shè)計(jì)完成了,但是由于自己的經(jīng)驗(yàn)不足,及設(shè)計(jì)中的一些錯(cuò)誤,實(shí)際的成品還是存在著一些問(wèn)題,存在時(shí)延問(wèn)題,而且成品對(duì)于社會(huì)生活中的產(chǎn)品還有一些差距,不能完全應(yīng)用到實(shí)際中。有改進(jìn)的地方。 通過(guò)對(duì)信號(hào)解調(diào)系統(tǒng)的設(shè)計(jì),對(duì)于信號(hào)傳輸?shù)鹊戎R(shí)也有了更深刻的理解,以后有機(jī)會(huì)一定好好研究一些更好的,更實(shí)用的設(shè)計(jì)方法,使自己的設(shè)計(jì)更加的完美化,能應(yīng)用到實(shí)際中。 設(shè)計(jì)的過(guò)程有困難的時(shí)候,第一次采用的方案沒(méi)有能全面的考慮問(wèn)題,一開(kāi)始設(shè)計(jì)就存在缺陷,因?yàn)榈谝环N方案就不適合這次的頻率,沒(méi)能成功,但對(duì)于鎖相環(huán)的應(yīng)用也有了深的體會(huì)。找到問(wèn)題的所在,及時(shí)的改變方案,有了第一板子的經(jīng)驗(yàn),第二個(gè)的板子的設(shè)計(jì)還是比較的順利的,仔細(xì)的計(jì)算參數(shù),力求參數(shù)的精確,板子焊出來(lái)基本沒(méi)什么問(wèn)題,很快就實(shí)現(xiàn)了解調(diào)的功能。 同時(shí)也體會(huì)到了合作的重要性,和同組成員通力合作,許多問(wèn)題再討論中找到了很好的解決方法。參考文獻(xiàn)[1] 樊昌信等編著 通信原理(第五版) 國(guó)防工業(yè)出版社(2001)[2] 江蘇技術(shù)師范學(xué)院 通信原理實(shí)驗(yàn)平臺(tái) 江蘇技術(shù)師范學(xué)院 (2005)[3] 電子電路百科全書(shū) 科學(xué)出版社(1997)[4] 韓克主編 電子技能與EDA技術(shù) 暨南大學(xué)出版社(2005)[5] 何希才等編著 通用電子線路應(yīng)用400例 電子工業(yè)出版社(2005)[6] 王建新等編著 電子線路實(shí)踐教程 科學(xué)出版社(2003)[7] 國(guó)計(jì)量出版社編 通信電路 中國(guó)計(jì)量出版社(2001)[8] 張錫鶴等編著 印制電路板電路設(shè)計(jì)實(shí)訓(xùn)教材 科學(xué)出版社(2004)[9] 謝自美等編著 電子線路設(shè)計(jì)實(shí)驗(yàn)測(cè)試 華中理工大學(xué)出版社(2000)[10]丁玉美 《數(shù)字信號(hào)處理》 西安科技大學(xué)出版社( 2002)[11] 張雄偉 《DSP集成開(kāi)發(fā)與應(yīng)用實(shí)例》 電子工業(yè)出版社( 2002)[12] 鄭君里《信號(hào)與系統(tǒng)》高等教育出版社(2002)[13]深振元《通信系統(tǒng)原理》西安電子科技大學(xué)出版社 (1993)附錄:NE564板: NE564芯片一個(gè) 通用板兩塊 電容 C1 2個(gè) C2 2個(gè)C3 300PF 2個(gè) C4 300PF 2個(gè)C5 10μF/8V 2個(gè) C6 0—20PF 2個(gè)C7 2個(gè) C8 2個(gè)Ct 33pF _6000PF 電阻 R1 1KΩ 2個(gè) R2 1KΩ 2個(gè)R3 510Ω 2個(gè) R4 510Ω 2個(gè)R5 2KΩ 2個(gè) R6 2KΩ 2個(gè)R7 2個(gè) RP1 10KΩ 2個(gè)RP2 10KΩ 2個(gè)XR2211板: XR2211芯片一個(gè) 通用板一個(gè) 電容 :C0 390PF 2個(gè) C1 100 PF CF 1200PF 2個(gè) C 2個(gè) C 2個(gè) C 2個(gè) 電阻 R1 47KΩ 2個(gè) RB 510KΩ 2個(gè) RP 100KΩ 2個(gè) R 150Ω 2個(gè) R 27kΩ 2個(gè) R 10KΩ 2個(gè) 2CW18 2個(gè)2設(shè)軟件計(jì)思想用VHDL語(yǔ)言在計(jì)算機(jī)上仿真出FSK解調(diào)系統(tǒng)的工作,觀察信號(hào)解調(diào)的過(guò)程,主要是編寫(xiě)程序。軟件設(shè)計(jì)library ieee。use 。use 。use 。entity FSK1 is port(clk,start,inx:in std_logic。 outy :out std_logic)。end entity FSK1。architecture behav of FSK1 isponent PL_FSK port(clk,start,x:in std_logic。 y :out std_logic)。end ponent。ponent PL_FSK2 port(clk,start,z:in std_logic。 t :out std_logic)。end ponent。signal e:std_logic。begin u1:PL_FSK port map(clk,start,inx,e)。 u2:PL_FSK2 port map(clk,start,e,outy)。end architecture behav。library ieee。use 。use 。use 。entity PL_FSK isport(clk :in std_logic。 start :in std_logic。 x :in std_logic。 y :out std_logic)。end PL_FSK。architecture behav of PL_FSK issignal q1:integer range 0 to 11。signal q2:integer range 0 to 3。signal f1,f2:std_logic。beginprocess(clk)beginif clk39。event and clk=39。139。then if start=39。039。then q1=0。 elsif q1=5 then f1=39。139。q1=q1+1。 elsif q1=11 then f1=39。039。q1=0。 else f1=39。039。q1=q1+1。 end if。end if。end process。process(clk)beginif clk39。event and clk=39。139。then if start=39。039。then q2=0。 elsif q2=0 then f2=39。139。q2=q2+1。 elsif q2=1 then f2=39。039。q2=0。 else f2=39。039。q2=q2+1。 end if。end if。end process。process(clk,x)beginif clk39。event and clk=39。139。then if x=39。039。then y=f1。 else y=f2。 end if。end if。end process。end behav。library ieee。use 。use 。use 。entity PL_FSK2 isport(clk :in std_logic。 start :in std_logic。 z :in std_logic。 t :out std_logic)。end PL_FSK2。architecture behav of PL_FSK2 issignal q:integer range 0 to 11。signal xx:std_logic。signal m:integer range 0 to 5。beginprocess(clk)beginif clk39。event and clk=39。139。then xx=z。 if start=39。039。then q=0。 elsif q=11 then q=0。 else q=q+1。 end if。end if。end process。process(xx,q)beginif q=11 then m=0。elsif q=10 then if m=3 then t=39。039。 else t=39。139。 end if。elsif xx39。event and xx=39。139。then m=m+1。end if。end process。end behav。3英文翻譯Implementation of ST FSK Detection Based on Decoupled Maximum Likelihood Detection Gao Yuanyuan Shen Yuehong Hu Xianbin (Department of Radio Communication /CE, PLAUST, Nanjing 210007, China)Abstract This paper presents a decoupled maximum likelihood(ML) detection algorithm, which utilizes the orthogonalcharacteristic of signal, decoupled the bined ML detection into separated one, under the assumption that CSI is knownat the receiver. Utilizing appropriate channel estimation algorithm, the decoupled algorithm not only sharply reduces theputational plexity pared to the noncoherent ML detection, but also improves the performance. Simulationresults confirm the effectiveness of the algorithm.Key words SpaceTime Frequency Shift Keying(STFSK), Unitary design, Decoupled Maximum Likelihood (ML)detection, Coherent maximum likelihood detectionPreface The FSK makes a way is high because of its power utilization, be subjected to the limit letter way in the power thusWin to get extensively applied, if the military corresponds by letter to correspond by letter and satellite, cultural heritage have another antennaThe empty hour codes the technique and FSK to make a technique to bine together, acquiring many antennas to bring The cent gathers to increase a benefit, in the benefit decline the letter way under condition didn39。t need letter way information, can adopt not Concern with of biggest however(ML) the detector f}l