freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

計算機組成原理課程設計報告-基于vhdl的彩燈控制器設計與實現(xiàn)(留存版)

2025-01-16 10:06上一頁面

下一頁面
  

【正文】 se_key)is variable temp:std_logic_vector(2 downto 0)。139。 BEGIN PROCESS(CLR,CLK)IS CONSTANT F1:STD_LOGIC_VECTOR(15 DOWNTO 0):=0001000100010001。 CURRENT_STATE=S4。 CHOSE_KEY:IN STD_LOGIC。 endprocess。 基于 VHDL的彩燈控制器設計與實現(xiàn) 第 21 頁 共 22 頁 CLK:OUT STD_LOGIC)。 CURRENT_STATE=S1。 BEGIN IF CLR=39。 end architecture art。 elsif rising_edge(clk_in)then if chose_key=39。它不但能鞏固我們已所學的電路的理論知識,而且能提高我們的電子電路的設計水平,還能加強我們綜合分析問題和解決問題的能力,進一步培養(yǎng)我們的實驗技能和動手能力,啟發(fā)我們的創(chuàng)新意識及創(chuàng)新思維。 (4)彩燈控制器 彩燈控制電路是整個設計的核心 ,它負責整個設計的輸出效果即各種彩燈圖案的樣式變化。在該電路中只需簡單的修改程序就可以靈活地調(diào)整彩燈圖案和變化方式。 (4) VHDL 語言標準、規(guī)范、移植性強 。電子設計自動化技術EDA 的發(fā)展給電子系統(tǒng) 的設計帶來了革命性的變化, EDA 軟件設計工具,硬件描述語言,可編程邏輯器件( PLD)使得 EDA 技術的應用走向普及。 長沙理工大學 《計算機組成原理》課程設計報告 學 院 計算機與通信工程 專 業(yè) 網(wǎng)絡工程 班 級 網(wǎng)絡工程 0802 學 號 ****** 學生姓名 **** 指導教師 ** 課程成績 完成日期 2020 年 12 月 31 基于 VHDL的彩燈控制器設計與實現(xiàn) 第 2 頁 共 22 頁 日 課程設計任務書 計算機與通信工程 學院 網(wǎng)絡工程 專業(yè) 課程名稱 計 算機組成原理課程設計 時間 2020~ 2020 學年第一學期17~ 18 周 學生姓名 指導老師 題 目 基于 VHDL 的彩燈控制器設計與實現(xiàn) 主要內(nèi)容: 隨著科技的發(fā)展 , 在現(xiàn)代生活中 , 彩燈作為一種景觀應用越來越多。在 MAX+PLUSII 環(huán)境下采用 VHDL 語言實現(xiàn),論述 了基于 VHDL 語言和 CPLD 芯片的數(shù)字系統(tǒng)設計思想和實現(xiàn)過程。 (3) 可讀性好 ,有利于交流 ,適合于文檔保存 。 基于 VHDL的彩燈控制器設計與實現(xiàn) 第 9 頁 共 22 頁 2 十六路彩燈控制系統(tǒng)的實現(xiàn) 功能描述 在電路中以 1 代表燈亮 ,以 0 代表燈滅 ,由 0,1 按不同的規(guī)律組合代表不同的燈光圖案 ,同時使其選擇不同的頻率 ,從而實現(xiàn)多種圖案多種頻率的花樣功能顯示。 (3)四選一控制器 四選一控制器功能是從分頻器中選擇不同頻率的時鐘信號送給彩燈控制器 ,實現(xiàn)彩燈閃爍的頻率變化。 計算機組成原理設計是計算機網(wǎng)絡的基礎課程的一個非常重要的實踐環(huán)節(jié)。temp:=000。 clk=cllk。 CONSTANT F6:STD_LOGIC_VECTOR(15 DOWNTO 0):=1101101101100110。 WHEN S6= FLOWER=F6。 CLR:IN STD_LOGIC。 endcolor。 LED:OUT STD_LOGIC_VECTOR(15 DOWNTO 0))。 WHEN S4= FLOWER=F4。 基于 VHDL的彩燈控制器設計與實現(xiàn) 第 18 頁 共 22 頁 CONSTANT F2:STD_LOGIC_VECTOR(15 DOWNTO 0):=1010101010101010。 end if。 begin if clr=39。 經(jīng)檢查沒有錯誤后下載成功在開發(fā)板上連線后成功實現(xiàn)程序開發(fā)板上的燈按照程序依次亮滅。 3 模塊設計及其功能 子模塊及其功能 本次設計分為四個子模塊 ,即十六路彩燈花樣控制器、 四頻率輸出分頻器 ,四選一控制器和時間選擇器 ,其子模塊及其功能如下 : (1)四頻率輸出分頻器 在本次設計中 ,只設計了四種花樣 ,要求這四種花樣以不同的頻率顯示 ,而只有一個輸入的時鐘信號 ,所以對所輸入的時鐘信號進行 2 分頻 ,4 分頻 ,8 分頻 ,16 分頻 ,得到四種頻率信號 ,CLKDIV 模塊用來完成此功能。利用 EDA 工具 ,電子設計師從概念、算法、協(xié)議開始設計電子系統(tǒng) ,從電路設計、性能分析直到 IC 版圖或 PCB 版圖生成的全過程均可在計算機上自動完成。從系統(tǒng)設計入手,在頂層進行系統(tǒng)方框圖的劃分和結構設計,在方框圖一級用 VHDL對電路的行為進行描述,并進行仿真和糾錯,然后在系統(tǒng)一級進行驗證,最后再用邏輯綜合優(yōu)化工具生成具體的門級邏輯電路的網(wǎng)表,下載到具體的 CPLD器件中去,從而實現(xiàn)可編程的專用集成電路( ASIC)的設計 ?,F(xiàn)介紹了以 VHDL 為基礎的十六路彩燈控制系統(tǒng)。 要求: ( 1)通過對相應文獻的收集、分析以及總結,給出相應課題的背景、意義 及現(xiàn)狀研究分析。 彩燈 。應充分利用 VHDL“自頂向下”的 基于 VHDL的彩燈控制器設計與實現(xiàn) 第 8 頁 共 22 頁 設計優(yōu)點以及層次化的設計概念,層次概念對于設計復雜的數(shù)字系統(tǒng)是非常有用的。應充分利用 DL “自頂向下” 的設計優(yōu)點以及層次化的設計概層次概念對于設計復雜的數(shù)字系統(tǒng)是非常有用它使得人們可以從簡單的單元入手 ,逐漸構成龐大而復雜的系統(tǒng) 。 其仿真波形如圖 3 所示 ,模塊符號如圖 4 所示。 entity sxkz is port(chose_key:in std
點擊復制文檔內(nèi)容
畢業(yè)設計相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1