freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內容

基于fpga的異步收發(fā)器程序設計-免費閱讀

2025-07-12 14:28 上一頁面

下一頁面
  

【正文】 通過本次課程設計,系統(tǒng)學習了應用EDA的VHDL語言設計、仿真與實現(xiàn)硬件的方法。 狀態(tài)4,輸出幀接收完畢信號 when others=state=r_start。 end if。 else state=r_start。end if。039。pro2:process(bclkr,resetr,rxd_sync) 主控時序、組合進程variable count:std_logic_vector(3 downto 0)。beginpro1:process(rxdr)begin if rxdr=39。entity reciever isgeneric(framlenr:integer:=8)。在本設計中沒有校驗位,但只要改變Generic參數(shù)FrameLen,也可以加入校驗位,停止位是固定的1位格式。 end if。 state=x_stop。 when x_shift=txds:=txdbuf(xbitt)。 when x_wait= 狀態(tài)3,等待狀態(tài) if xt16=01110 then if xbitt=framlent then state=x_stop。 end if。 txds:=39。beginprocess(bclkt,resett,xmit_cmd_p,txdbuf) 主控時序、組合進程variable xt16:std_logic_vector(4 downto 0):=00000。 Port (bclkt,resett,xmit_cmd_p:in std_logic。轉換完成立即回到X_WAIT狀態(tài)。n XMIT_CMD_P信號是對XMIT_CMD的處理,XMIT_CMD_P是一個短脈沖信號。end process。 復位 elsif rising_edge(clk) then if t=208 then t:=0。 bclk:out std_logic)??梢愿鶕?jù)給定的系統(tǒng)時鐘頻率(晶振時鐘)和要求的波特率算出波特率分頻因子,算出的波特率分頻因子作為分頻器的分頻數(shù)。n ponent baudn Port (clk,resetb:in std_logic。n rbuf:out std_logic_vector(7 downto 0))。n use 。它有許多不同的結構,可分直探頭(縱波)、斜探頭(橫波)、表面波探頭(表面波)、蘭姆波探頭(蘭姆波)、雙探頭(一個探頭反射、一個探頭接收)等。例如,在某一時刻,k3為低電平,其余選通信號為高電平,這時僅k3對應的數(shù)碼管顯示來自段信號端的數(shù)據(jù),就必須使得4個選通信號k1~k4分別被單獨選通,與此同時,在段信號輸入口加上希望在該對應數(shù)碼管上顯示的數(shù)據(jù),于是隨著選通信號的掃變,就能實現(xiàn)掃描顯示的目的。這里使用邊沿邏輯,對于分頻時鐘的占空比并沒有要求,所以直接用模n計算器進行分頻。UART的幀格式的示意圖如圖一所示:圖一 基本UART幀格式 UART的基本原理基本的UART通信只需要兩條信號線:RXD和TXD,TXD是UART的發(fā)送端,RXD是UART的接收端,接收與發(fā)送是全雙工工作的。串行外設用到的RS232C異步串行接口,一般采用專用的集成電路即UART實現(xiàn)。EDA之所以能蓬勃發(fā)展的關鍵因素之一就是采用了硬件描述語言(HDL)描述電路系統(tǒng)。畢 業(yè) 設 計設計題目 基于FPGA的異步收發(fā)器設計 學 院:物理科學與工程技術學院 專 業(yè): 電子信息工程 年 級: 10級 姓 名: 陳淑珍 指導教師: 王 永 祥 職 稱: 副 教 授 (2013 年 6月)宜春學院教務處制基于FPGA的異步收發(fā)器設計 宜春學院 物理科學與工程技術學院 電子信息工程 李揚 指導老師: 王永祥摘要:文章簡要介紹了UART的基本功能,采用Verilog HDL語言作為硬件功能的描述,運用模塊化設計方法設計了通用異步收發(fā)器的發(fā)送模塊、接收模塊和波特率發(fā)生器。就FPGA和CPLD開發(fā)而言,比較流行的HDL主要有Verilog HDL、VHDL、ABELHDL和 AHDL 等,其中VHDL和Verilog HDL因適合標準化的發(fā)展方向而最終成為IEEE標準。使用VHDL將UART的核心功能集成,從而使整個設計更加緊湊、穩(wěn)定且可靠。通過在串行端口上使用調制解調器,串行數(shù)據(jù)可以通過電話線進行長距離的收發(fā)(圖二)。9600Hz的時鐘信號驅動10bit的一位計數(shù)器,將數(shù)據(jù)總線上載入的8bit數(shù)據(jù)加上起始位和停止位后由低到高依次移除。共陽數(shù)碼管及其電路示意圖如圖七所示:圖七 共陽數(shù)碼管及其電路4位數(shù)碼掃描電路的示意圖如圖八所示: 圖八 4位數(shù)碼掃描電路 波特率發(fā)生器UART的接收和發(fā)送是按照相
點擊復制文檔內容
范文總結相關推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1