freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于eda技術(shù)交通信號(hào)燈設(shè)計(jì)畢業(yè)論文-預(yù)覽頁(yè)

 

【正文】 計(jì)中存在的問(wèn)題。如前所述,用行為方式描述的系統(tǒng)結(jié)構(gòu)的程序,其抽象程度高,是很難直接映射到具體邏輯元件結(jié)構(gòu)的。即利用邏輯綜合工具,將RTL方式描述的程序轉(zhuǎn)換成用基本邏輯元件表示的文件(門(mén)級(jí)網(wǎng)絡(luò)表)。由自上而下的設(shè)計(jì)過(guò)程可知,從總體行為設(shè)計(jì)開(kāi)始到最終的邏輯綜合,每一步都要進(jìn)行仿真檢查,這樣有利于盡早發(fā)現(xiàn)設(shè)計(jì)中存在的問(wèn)題,從而可以大大縮短系統(tǒng)的設(shè)計(jì)周期。第四,采用系統(tǒng)早期仿真。[4]其自頂而下的設(shè)計(jì)流程如圖11所示:設(shè)計(jì)說(shuō)明書(shū)建立VHDL行為模型模型門(mén)級(jí)時(shí)序VHDL行為仿真模型VHDL-RTL級(jí)建模模型前端功能仿真邏輯綜合測(cè)試向量生成硬件測(cè)試功能仿真結(jié)構(gòu)綜合設(shè)計(jì)完成圖11 自頂而下的設(shè)計(jì)流程 Max+Pull II開(kāi)發(fā)平臺(tái)系統(tǒng) MaxPull II簡(jiǎn)介MaxPull II的全稱(chēng)是Multiple Array and Programmable Logic Use System2(多陣列矩陣及可編程邏輯用戶系統(tǒng)2),是Altera公司的全集成化可編程邏輯設(shè)計(jì)環(huán)境。MaxPull II的界面友好,在線幫助完備,初學(xué)者也可以很快學(xué)習(xí)掌握。 MaxPull II的特點(diǎn)+PLUS II的編譯核心支持Altera的FLEX 10K、FLEX 8K、MAX9000、MAX7000、FLASHlogic、MAX5000、Classic系列可編程邏輯器件; +PLUS II的設(shè)計(jì)輸入、處理與校驗(yàn)功能一起提供了全集成化的一套可編程邏輯開(kāi)發(fā)工具,可加快動(dòng)態(tài)調(diào)試,縮短開(kāi)發(fā)周期; +PLUS II支持各種HDL設(shè)計(jì)輸入,包括VHDL、Verilog和Altera的AHDL; +PLUS II可與其他工業(yè)標(biāo)準(zhǔn)設(shè)計(jì)輸入、綜合與校驗(yàn)工具鏈接。 利用MAX+PLUSII軟件平臺(tái)進(jìn)行設(shè)統(tǒng)設(shè)計(jì)。實(shí)現(xiàn)路口交通燈系統(tǒng)控制的方法很多,可以用標(biāo)準(zhǔn)邏輯器件、可編程序控制器PLC、單片機(jī)等方案來(lái)實(shí)現(xiàn)。該燈控制邏輯可實(shí)現(xiàn)3種顏色燈的交替點(diǎn)亮、時(shí)間的倒計(jì)時(shí)、轉(zhuǎn)向問(wèn)題,指揮車(chē)輛和行人安全通行。 G2 Y2 R2 L2 A方向 G1 Y1 R1 L1 B方向圖21 交通路口指示燈示意圖交通燈控制器的狀態(tài)轉(zhuǎn)換如表1 所示。并且每個(gè)方向紅燈亮的時(shí)間應(yīng)該與另一方向綠、拐、黃燈亮的時(shí)間相等。在MAX+PLUSⅡ環(huán)境下進(jìn)行編譯與仿真,直至各個(gè)模塊全部完成仿真,實(shí)現(xiàn)各自的功能。需要說(shuō)明的是,在進(jìn)行程序編譯時(shí),要先從底層程序開(kāi)始,所有底層程序都正確后,才能開(kāi)始頂層程序的編譯。分頻器可為后續(xù)模塊提供低頻的時(shí)鐘信號(hào),分頻器采用上升沿計(jì)數(shù)來(lái)實(shí)現(xiàn)分頻。 時(shí)序仿真圖22 分頻器模塊的時(shí)序仿真波形時(shí)序仿真說(shuō)明:(1).reset=1,則clk_tclk_tclk_sclk_sclk_f清零。否則clk_t2加一,clk_s2,clk_f保持不變。正常情況時(shí),計(jì)數(shù)器采集到交通燈控制器的信號(hào)recount,輸出倒計(jì)時(shí)間;緊急情況時(shí),計(jì)數(shù)器采集到禁止信號(hào),時(shí)鐘停止計(jì)數(shù),時(shí)間不再倒記時(shí),只有采集到計(jì)數(shù)器控制器的下一個(gè)狀態(tài)的計(jì)數(shù)值,計(jì)數(shù)器才會(huì)進(jìn)行正常的減計(jì)數(shù),并輸出給譯碼器,顯示在顯示屏上。event and clk=39。 then --禁止信號(hào)t1=t1。 then ――采集到的交通燈控制信號(hào),采集下t1=din1。 ――減計(jì)數(shù)t2=t21。3.reset=0, clk為上升沿觸發(fā)時(shí),且hold=0,那么如果recount=1時(shí),則采集下一個(gè)計(jì)數(shù)數(shù)值。否則next_sa=39。否則last_f=39。計(jì)數(shù)器控制器模塊有三個(gè)輸入,端子recount是交通燈控制器控制計(jì)數(shù)器控制器是否進(jìn)行計(jì)數(shù)狀態(tài)轉(zhuǎn)換的信號(hào),reset是內(nèi)部復(fù)位信號(hào),用來(lái)復(fù)位內(nèi)部信號(hào)。[7] 時(shí)序仿真圖24 計(jì)數(shù)器控制器模塊時(shí)序仿真波形時(shí)序仿真說(shuō)明:1.reset=1,則loadload2清零。(2) 若sign_s=001110000001,則load1=conv_std_logic_vector(23,8) load2=conv_std_logic_vector(23,8)。flash_addr=00。a狀態(tài):A方向的綠燈亮,左轉(zhuǎn)燈,紅燈,黃燈都滅,B方向的紅燈亮,綠燈,黃燈,左轉(zhuǎn)燈都滅。此時(shí),A方向上的車(chē)輛要開(kāi)始停止通行,行人可繼續(xù)穿行,B方向的車(chē)輛行人保持原狀態(tài)。直到計(jì)數(shù)器計(jì)時(shí)時(shí)間到,由c狀態(tài)轉(zhuǎn)到d狀態(tài)。到此,B方向上的交通燈開(kāi)始變化,依次重復(fù)A方向上a、b、c、d四個(gè)狀態(tài)的轉(zhuǎn)換。 then ――交通燈處于自動(dòng)狀態(tài)時(shí) if next_state=39。state=b。 state=a。 then ――交通燈處于手動(dòng)狀態(tài)時(shí) if h_butt=39。 state=b。 state=a。sign_state=010101010101。否則,交通燈處于正常的顯示狀態(tài)。sign_state=100101101101。如果為手動(dòng)狀態(tài)a_m=0且h_butt=39。 sign_state=100101101101。4.Reset=0,clk為上升沿觸發(fā),且hold=0,如果state為b狀態(tài)時(shí),如果a_m=1且next_state=39。否則recount=39。1則recount=39。否則recount=39。則recount=1,state=d。state=c。state=d。 state=c6.Reset=0,clk為上升沿觸發(fā),且hold=0,如果state為d狀態(tài)時(shí),如果a_m=1且next_state=39。否則recount=39。1則recount=39。否則recount=39。則recount=1,state=e。state=d。state=f sign_state=100110100101。139。039。139。 state=f。139。 t_flash=dins xor t。139。end if。3. reset=0,clk為上升沿觸發(fā),且hold=0,如果clk_flash=39。則t=011010011010,t_flash=dins xor t。139。 消振模塊設(shè)計(jì) 原理及功能交通燈出現(xiàn)緊急情況或需要交通人員進(jìn)行手動(dòng)控制時(shí),需要手動(dòng)操作按鍵,由于按鍵被按下和按鍵彈起時(shí)都有抖動(dòng),造成控制器采集到多個(gè)信號(hào)信息,使得計(jì)數(shù)器產(chǎn)生一些誤操作,控制器誤判概率增加。模塊采用clkr1KHZ的方波作為時(shí)鐘信號(hào),模塊每1um采集一次信息,當(dāng)采集到十個(gè)上升延時(shí)響應(yīng)操作,輸出一個(gè)1um的控制信號(hào)。139。 hoq=39。039。2.resetr=0,clk為上升沿觸發(fā),當(dāng)holdr=1時(shí),t1的值大于等于10時(shí),則清零t1,令hoq=1,否則t1加一,hoq=0不變。它是一個(gè)多輸入、多輸出電路,它的輸入是二進(jìn)制代碼或二——十進(jìn)制代碼,輸出是代碼所代表的字符。(程序見(jiàn)附錄P9)圖29 總體電路的時(shí)序仿真波形 實(shí)驗(yàn)平臺(tái)的引腳配置及下載測(cè)試 實(shí)驗(yàn)平臺(tái)介紹 實(shí)驗(yàn)系統(tǒng)主板提供的基本功能說(shuō)明:15個(gè)按鍵:即九個(gè)琴鍵按鍵(按住琴鍵,對(duì)應(yīng)輸出指示的紅色二極管亮,表示輸出高電平,松開(kāi)琴鍵,對(duì)應(yīng)輸出指示的紅色二極管滅,表示輸出為低電平)。8個(gè)共陰數(shù)碼管,其中 7 個(gè)作為數(shù)碼顯示用(顯示采用掃描和自動(dòng)滅零技術(shù),當(dāng)輸入的四位二進(jìn)制數(shù)大于 1001 時(shí),滅燈),通過(guò)跳線選擇供 CPLD/FPGA 或單片機(jī)使用。4組時(shí)鐘輸入(時(shí)鐘頻率從 50MHz 到 2Hz )即 CLK1 , CLK2 , CLK3,CLK4 。電源輸出電壓:+5V(2A)和+12V()。 (5,6)對(duì)ALTERA的 CPLD/FPGA進(jìn)行配置。 (1,2)(7,8)單片機(jī)與CPLD/FPGA的串口對(duì)連。LED點(diǎn)陣顯示器亦稱(chēng)LED矩陣板,以發(fā)光二極管為象素,按行與列順序顯示,彩色LED顯示以三色二極管作為象素點(diǎn)來(lái)顯示:紅光,綠光,澄光(復(fù)合光紅燈和綠燈同時(shí)亮),它們分別代表交通燈控制電路中的紅燈,綠燈和黃燈三個(gè)指示燈。同樣,仿真結(jié)果也是針對(duì)工程文件的,所以在對(duì)最后的頂層文件處理時(shí),仍然應(yīng)該對(duì)它重新設(shè)定元件型號(hào)和引腳鎖定。在這段時(shí)間里,我學(xué)到了很多知識(shí),也有很多感受,從對(duì)VHDL語(yǔ)言、MAX+PLUS II軟件操作平臺(tái)等相關(guān)技術(shù)很不大了解的狀態(tài),我開(kāi)始了獨(dú)立的學(xué)習(xí)和試驗(yàn),查看相關(guān)的資料和書(shū)籍,讓自己頭腦中模糊的概念逐漸清晰,使自己對(duì)硬件描述語(yǔ)言VHDL應(yīng)用系統(tǒng)有了更深一步的了解,也更為熟悉MAX+PLUS II軟件系統(tǒng)的設(shè)計(jì)流程,每一次改進(jìn)都是我學(xué)習(xí)的收獲。通過(guò)VHDL語(yǔ)言編譯軟件程序,在MAX+PLUS II軟件平臺(tái)上進(jìn)行VHDL代碼設(shè)計(jì),目標(biāo)器件選擇,編譯,仿真,引腳配置,編程下載,硬件測(cè)試,對(duì)交通燈的設(shè)計(jì)進(jìn)行現(xiàn)實(shí)模擬仿真,通過(guò)波形圖分析電路。但是個(gè)人所學(xué)是有限的,難免有疏漏的地方,通過(guò)這次設(shè)計(jì)希望有所改善,讓所學(xué)的專(zhuān)業(yè)知識(shí)從理論運(yùn)用到實(shí)際工作和生活中。ALTERA公司.《DATA.《CPLD技術(shù)及零應(yīng)用[M]》.西安:. [7] 求是科技.《CPLD/FPGA應(yīng)用開(kāi)發(fā)技術(shù)與工程實(shí)踐》.[8] 劉寶琴.《ALTERAentity fpq isport(clk_1k,reset:in std_logic。signal clk_t2 : std_logic_vector(7 downto 0)。 thenclk_t1=0000。event and clk_1k=39。139。end if。139。clk_f=39。139。 clk_s2=39。clk_s2=39。end if。clk_flash=clk_s1 and clk_s2 and clk_f。use 。dout1,dout2 ,dout3,dout4 : out std_logic_vector(3 downto 0)。architecture art of jsq is signal next_sa ,last_f : std_logic 。 then t1=00000000。139。t2=t2。t2=din2。 end if。dout3=t2(3 downto 0)。else next_sa=39。139。end process。 計(jì)數(shù)器控制器程序library ieee。entity jsqkzq isport(clk :in std_logic。load1 :out std_logic_vector(7 downto 0)。architecture art of jsqkzq isbeginprocess(clk)beginif reset=39。elsif clk39。139。when 100101101101= load2=conv_std_logic_vector(3,8)。when 101001100101= load1=conv_std_logic_vector(18,8)。flash_addr=00。end if。交通燈控制器程序library ieee。next_state: in std_logic。architecture one of jtdkz issignal ab :std_logic_vector(11 downto 0)。139。139。 thenif hold=39。elsif hold=39。139。139。039。039。139。039。when b= if a_m=39。 thenrecount=39。else recount=39。elsif a_m=39。 then recount=39。 else recount=39。end if。139。 sign_state=101001100101。 end if。139。 sign_state=101001100101。 end if。 then if next_state=39。state=e。 state=d。 then if h_butt=39。 state=e。 state=d。139。139。039。039。139。039。when f= if a_m=39。 thenrecount=39。else recount=39。elsif a_m=39。 then recount=39。 else recount=39。end if。end if。use 。 flash_addr: in std_logic_vector(1 downto 0)。architecture art of ssmk issignal t :std_logic_vector(11 downto 0)。 thent_flash=000000000000。 then if hold=39。139。 t_flash=dins xor t。139。end if。end。entity xzdl isport(resetr,holdr,a_mr,h_buttr,clkr:in std_logic。beginprocess(resetr,holdr,a_mr,h_buttr,clkr)beginif resetr=3
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1