freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的數(shù)字電子時鐘設(shè)計-wenkub

2023-07-03 14:29:33 本頁面
 

【正文】 CLB基本結(jié)構(gòu) FPGA 系統(tǒng)設(shè)計流程一般說來,一個比較大的完整的項目應(yīng)該采用層次化的描述方法:分為幾個較大的模塊,定義好各功能模塊之間的接口,然后各個模塊再細分去具體實現(xiàn),這就是自頂向下的設(shè)計方法。IOB輸出端配有兩只MOS管,它們的柵極均可編程,使MOS管導通或截止,分別經(jīng)上拉電阻接通VCC、地線或者不接通,用以改善輸出波形和負載能力。當IOB控制的引腳被定義為輸入時,通過該引腳的輸入信號先送入輸入緩沖器。這些數(shù)據(jù)選擇器的地址控制信號均由編程信息提供,從而實現(xiàn)所需的電路結(jié)構(gòu)。邏輯函數(shù)發(fā)生器H 有3個輸入信號;前兩個是函數(shù)發(fā)生器的輸出G’ 和F’ ,而另一個輸入信號是來自信號變換電路的輸出H1。它主要由邏輯函數(shù)發(fā)生器、觸發(fā)器、數(shù)據(jù)選擇器等電路組成。FPGA一般由3種可編程電路和一個用于存放編程數(shù)據(jù)的靜態(tài)存儲器SRAM組成。通過軟件仿真可以事先驗證設(shè)計的正確性,在PCB完成以后,利用CPLD/FPGA的在線修改功能,隨時修改設(shè)計而不必改動硬件電路。校對時間由 15 矩形鍵盤進行控制,為了保證計時的穩(wěn)定及準確須由晶體振蕩器提供時間基準信號。數(shù)字化的鐘表給人們帶來了極大的方便。 課題研究的必要性現(xiàn)在是一個知識爆炸的新時代。本設(shè)計是利用 VHDL 硬件描述語言結(jié)合可編程邏輯器件進行的,并通過數(shù)碼管靜態(tài)顯示走時結(jié)果。它與傳統(tǒng)的電子產(chǎn)品在設(shè)計上的顯著區(qū)別是大量使用大規(guī)??删幊踢壿嬈骷?,使產(chǎn)品的性能提高,體積縮小,功耗降低,同時廣泛運用現(xiàn)代計算機技術(shù),提高產(chǎn)品的自動化程度和競爭力,縮短研發(fā)周期。諸如定時自動報警、定時啟閉電路、定時開關(guān)烘箱、通斷動力設(shè)備,甚至各種定時電氣的自動啟用等,所有這些都是以鐘表數(shù)字化為基礎(chǔ)的。在控制系統(tǒng)中,鍵盤是常用的人機交換接口,當所設(shè)置的功能鍵或數(shù)字鍵按下的時候,系統(tǒng)應(yīng)該完成該鍵所對應(yīng)的功能。支撐信息電子產(chǎn)品高速發(fā)展的基礎(chǔ)就是微電子制造工藝水平的提高和電子產(chǎn)品設(shè)計開發(fā)技術(shù)的發(fā)展。前者以微細加工技術(shù)為代表,而后者的代表就是電子設(shè)計自動化(electronic design automatic, EDA)技術(shù)。因此,按鍵信息輸入是與軟件結(jié)構(gòu)密切相關(guān)的過程。因此研究數(shù)字鐘以及擴大其應(yīng)用有著非?,F(xiàn)實的意義。EDA 技術(shù)正是為了適應(yīng)現(xiàn)代電子技術(shù)的要求,吸收眾多學科最新科技成果而形成的一門新技術(shù)。數(shù)字鐘可以由各種技術(shù)實現(xiàn),如單片機等。新產(chǎn)品、新技術(shù)層出不窮,電子技術(shù)的發(fā)展更是日新月異。近些年,隨著科技的發(fā)展和社會的進步,人們對數(shù)字鐘的要求也越來越高,傳統(tǒng)的時鐘已不能滿足人們的需求,多功能數(shù)字鐘不管在性能還是在樣式上都發(fā)生了質(zhì)的變化,有電子鬧鐘、數(shù)字鬧鐘等等。4第二章 FPGA 簡介 FPGA 概述FPGA是現(xiàn)場可編程門陣列(Field Programmable Gate Array)的簡稱,與之相應(yīng)的CPLD 是復雜可編程邏輯器件( Complex Programmable Logic Device)的簡稱,兩者的功能基本相同,只是實現(xiàn)原理略有不同,有時可以忽略這兩者的區(qū)別,統(tǒng)稱為可編程邏輯器件或CPLD/PGFA。使用CPLD/FPGA開發(fā)數(shù)字電路,可以大大縮短設(shè)計時間,減少PCB面積,提高系統(tǒng)的可靠性。這3種可編程電路是:可編程邏輯模塊(CLBConfigurable Logic Block)、輸入/ 輸出模塊(IOBI/O Block)和互連資源(IR—Interconnect Resource)。CLB 中3個邏輯函數(shù)發(fā)生器分別是G、F和H,相應(yīng)的輸出是G’、F’和H ’。這個函數(shù)發(fā)生器能實現(xiàn)3輸入變量的各種組合函數(shù)。CLB中的邏輯函數(shù)發(fā)生器F和G均為查找表結(jié)構(gòu),其工作原理類似于ROM。IOB提供了器件引腳和內(nèi)部邏輯陣列之間的連接。緩沖器的輸出分成兩路:一路可以直接送到MUX ,另一路延時幾個納秒(或者沒有延時)后送到輸入通路D觸發(fā)器,再送到數(shù)據(jù)選擇器。目前這種高層次的設(shè)計方法已被廣泛采用。,這是設(shè)計中最為普遍的輸入方式。這一步驟適用于大型設(shè)計,因為對于大型設(shè)計來說,在綜合前對源代碼仿真,就可以大大減少設(shè)計重復的次數(shù)和時間。,仿真過程不涉及具體器件的硬件特性,是較為粗略的。根據(jù)適配后的仿真模型,可以進行適配后時序仿真,因為已經(jīng)得到器件的實際硬件特性(如時延特性),所以仿真結(jié)果能比較精確的預(yù)期未來芯片的實際性能。CPLD/FPGA軟件設(shè)計可分為兩大塊:編程語言和編程工具。用這種方式描述的項目最后所能達到的性能與設(shè)計人員的水平、經(jīng)驗以及綜合軟件有很大的關(guān)系。一般大都使用成熟的IP 核和中小規(guī)模集成電路所搭成的現(xiàn)成電路,整體放到一片可編程邏輯器件的內(nèi)部去,其硬件工作速度和芯片利用率很高,但是當項目很大時,該方法就顯得有些繁瑣;狀態(tài)機描述主要用來設(shè)計基于狀態(tài)機思想的時序電路。QuartusII 是 Altera 提供的 FPGA/CPLD 開發(fā)集成環(huán)境,Altera 是世界上最大的可編程邏輯器件供應(yīng)商之一。 QuartusII 也可以利用第三方的綜合工具,如 FPGA Compiler II,并能直接調(diào)用這些工具。編譯器包括的功能模塊有分析/ 綜合器、適配器、裝配器、時序分析器、設(shè)計輔助模塊、EDA 網(wǎng)表文件生成器、編輯數(shù)據(jù)接口等。圖 下排的流程框圖是與上面的 QuartusII 設(shè)計流程相對照的標準的 EDA 開發(fā)流程。在進行編譯后,可對設(shè)計進行時序仿真。 Text Editor(文本編輯器)建立 Verilog HDL、VHDL 或 Altera 硬件10描述語言(AHDL)設(shè)計。4.(可選)使用 SOPC Builder 或 DSP Builder 建立系統(tǒng)級設(shè)計。7.(可選)使用仿真器對設(shè)計執(zhí)行功能仿真。14.(可選)使用 SignalTap II Logic Analyzer、 SignalProbe 功能或 Chip Editor 對設(shè)計進行調(diào)試。此文件夾將被 EDA 軟件默認為工作庫。步驟如下: FPGA 目標芯片QuartusII 編譯器是由一系列處理模塊構(gòu)成的,這些模塊負責對設(shè)計項目的檢錯、邏輯綜合、結(jié)構(gòu)綜合、輸出結(jié)果的編輯配置,以及時序分析。12圖 全編譯后出現(xiàn)報錯信息如果編譯成功,可以見到如圖 所示的工程管理窗口左上角顯示了工程(例如工程 div)的層次結(jié)構(gòu)和其中結(jié)構(gòu)模塊耗用的邏輯宏單元數(shù);在此欄下是編譯處理流程,包括數(shù)據(jù)網(wǎng)表建立、邏輯綜合、適配、配置文件裝配和時序分析等;最下欄是編譯處理信息;中欄式編譯報告項目選擇菜單,單擊其中各項可以詳細了解編譯與分析結(jié)果。本設(shè)計總體框架見附圖。計數(shù)器的輸出分別經(jīng)譯碼器送數(shù)碼管顯示。譯碼顯示電路由八段譯碼器完成。 [7]EP1C3T144 引腳圖如圖 所示。圖 LED 靜態(tài)驅(qū)動顯示原理圖16第四章 單元電路設(shè)計 分頻模塊電路設(shè)計晶體振蕩器是構(gòu)成數(shù)字式時鐘的核心,振蕩器的穩(wěn)定度及頻率的精度決定了數(shù)字鐘計時的準確程度,它保證了時鐘的走時準確及穩(wěn)定。圖 秒信號產(chǎn)生電路框圖本系統(tǒng)使用的晶體振蕩器電路給數(shù)字鐘提供了一個頻率穩(wěn)定準確的 20MHZ的方波信號,其輸出至分頻電路。石英晶體振蕩電路 分頻電路 秒信號17圖 分頻模塊仿真圖 校時控制模塊電路設(shè)計 按鍵消抖本模塊用于當有按鍵按下時,采用軟件的辦法去除按鍵抖動。圖 消抖邏輯框圖該模塊在這里實現(xiàn)的比較簡單,原理是當有按鍵按下的時候,inkey 會變成低電平,如果此時 count 不為 30 時,內(nèi)部計數(shù)器計數(shù),從 0 直到 30,當計數(shù)到30 時,okey 輸出底電平,同時給計數(shù)器賦值為 30。圖 按鍵消抖模塊波形仿真 按鍵控制模塊按鍵控制模塊的框圖如圖 所示。b 圖中的 flag 是判斷小時高位是 1 還是 2 的信號,若為 1,則 flag 信號為低電平;若為 2,則 flag 信號為高電平。ARCHITECTURE addram_architecture OF addram ISsignal count:std_logic_vector(3 downto 0)。end if。說明:每按鍵一次,即每當 inkey 的上升沿到來時,count 加一,當 count 等于 9的時候,作為分的低位,將 0 賦值于 count,在此過程中,隨時將十進制數(shù)的count 的值的 8421 碼作為 oaddr 的信號。flag : IN STD_LOGIC。BEGINoaddr=count。elsecount=count+1。end if。END addram3_architecture。模塊主要完成秒向分的進位,產(chǎn)生脈沖信號。ARCHITECTURE sec_architecture OF second IS22BEGINk1:process(clk_1s)variable count:integer range 0 to 100:=0。elseos=39。end if。主要完成分低位向高位的進位,產(chǎn)生脈沖信號。addr_1s : OUT STD_LOGIC_vector(3 downto 0)。beginif iset=39。elsif rising_edge(clk_1s ) thenif count=9 thenos
點擊復制文檔內(nèi)容
法律信息相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1