freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的函數(shù)信號發(fā)生器設(shè)計論文-在線瀏覽

2025-03-05 12:56本頁面
  

【正文】 相應(yīng)的波形;當(dāng)adress=”000”產(chǎn)生方波;當(dāng)adress=”001”產(chǎn)生階梯波;當(dāng)adress=”010”產(chǎn)生鋸齒波;當(dāng)adress=”011”產(chǎn)生三角波;當(dāng)adress=”100”產(chǎn)生正弦波;3 系統(tǒng)總體方案設(shè)計  該方案采用FPGA作為中心控制邏輯,由于其具有高速和邏輯單元數(shù)多的特點,因此可以由FPGA、DAC和I/V運放直接構(gòu)成信號源發(fā)生器的最小系統(tǒng)。當(dāng)然,為了增加人機界面的交互性與系統(tǒng)功能,可以在原有的基礎(chǔ)上添加一個標(biāo)準(zhǔn)鍵盤和LED或LCD,這樣就能夠通過編程實現(xiàn)波形的任意性、幅度變化的靈活性時 鐘 系 統(tǒng) 控 制 器復(fù) 位波形選擇頻率選擇方波階梯波鋸齒波遞增鋸齒波遞減三角波正弦波TLC7528 I/V轉(zhuǎn)換運放輸出分頻器 FPGA 系統(tǒng)方案圖函數(shù)發(fā)生器的硬件設(shè)計 波形發(fā)生器制作過程中用到的硬件有: 5V的電源、以ALTERA公司生產(chǎn)的芯片,和以這個目標(biāo)芯片為核心的核心板,核心板上有穩(wěn)壓管及其供電系統(tǒng)、50MHZ的晶振、SDRAM:8Mbyte、Flash:2Mbyte,此外所有IO配置管腳通過插針引出,下載設(shè)計到目標(biāo)芯片時用到的并口下載數(shù)據(jù)線;還用到選擇波形的按鈕。而FPGA只是數(shù)字信號處理器,在模擬信號轉(zhuǎn)換它是顯得很無助的。所以它由兩部分組成:數(shù)據(jù)產(chǎn)生,數(shù)據(jù)的轉(zhuǎn)換。ALTERA 公司作為全球最大的可編程邏輯器件供應(yīng)商,可提供MAX7000S(E)、MAX7000A(AE)、MAX7000B、FLEX6000A、FLEX 10KA、FLEX 10KE 等系列產(chǎn)品。在加上ALTERA 公司的MAX+pulsII 集成開發(fā)軟件,集設(shè)計輸入、處理、校驗和器件編程于一體,集成度高,使用方便,大大縮短產(chǎn)品的開發(fā)周期。EPF10K10LC844管腳圖函數(shù)發(fā)生器的軟件設(shè)計(1)、正弦波的設(shè)計FPGA輸出的數(shù)字信號需要經(jīng)D/A轉(zhuǎn)換器轉(zhuǎn)換成各種波形輸出。正弦波產(chǎn)生模塊sinbo:library ieee。use 。clock時鐘信號,clrn復(fù)位信號 qt: out std_logic_vector(7 downto 0))。architecture behave of Zhengxianbo issignal q: std_logic_vector(8 downto 0)。begin if reset=39。 then q=000000000。 elsif clk39。139。else tmp:=tmp+1。 case tmp is when 0 =q=100000000。when 2 =q=100110010。 when 4 =q=101100010。when 6 =q=110001110。 when 8 =q=110110100。when 10 =q=111010100。 when 12 =q=111101110。when 14 =q=111111010。 when 16 =q=111111111。when 18 =q=111111010。 when 20 =q=111101110。when 22 =q=111010100。 when 24 =q=110110100。when 26 =q=110001110。 when 28 =q=101100010。when 30 =q=100110010。 when 32 =q=100000000。when 34 =q=011001110。 when 36 =q=010011110。when 38 =q=001110000。 when 40 =q=001001100。when 42 =q=000101100。 when 44 =q=000010010。when 46 =q=000000110。 when 48 =q=000000001。when 50 =q=000000110。 when 52 =q=000010010。when 54 =q=000101100。 when 56 =q=001001100。when 58 =q=001110000。 when 60 =q=010011011。when 62 =q=011001010。 when others =NULL。 end if。 end process。(2)、方波的設(shè)計由于方波的占空比是50%,且只有兩個狀態(tài),所以方波的取樣比較簡單。方波產(chǎn)生模塊fangbo:library ieee。use 。entity fangbo isport(reset:in std_logic。 t:buffer std_logic_vector(7 downto 0))。architecture one of fangbo isbeginprocess(clk)variable count:integer range 0 to 500。039。
點擊復(fù)制文檔內(nèi)容
范文總結(jié)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1