freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl多功能數(shù)字鐘設(shè)計說明書-展示頁

2025-05-17 20:03本頁面
  

【正文】 when 0000=MH=11000000。 when others=NULL。 when 1000=ML=10000000。 when 0110=ML=10000010。 when 0100=ML=10011001。 when 0010=ML=10100100。 p2:process(t4,h1,h0,min1,min0) begin case t4 is 控制數(shù)碼管位選 when 00=case min0 is when 0000=ML=11000000。 end if。 if t4=3 then t4=00。139。 begin p1:process(clk1) begin if clk139。 architecture one of scan_led is signal t4:std_logic_vector(1 downto 0)。 HH:out std_logic_vector(7 downto 0) )。 MH:out std_logic_vector(7 downto 0)。 min1:in std_logic_vector(7 downto 4)。 h1:in std_logic_vector(7 downto 4)。 entity scan_led is port(clk1:in std_logic。 use 。 ( 3)模塊圖: 動態(tài)掃描顯示模塊 () ( 1)模塊說明:由 4 組 輸入信號和輸出信號進而實現(xiàn)了時鐘時 、 分的動態(tài)顯示 。 end process。 end if 。 sec1=0000。 10 min1=bm1。 else h1=bh1。 sec1=0000。 min1=1111。)then h1=bh1。 and q2Hz=39。 elsif ( flashm=39。 sec1=0000。 min1=bm1。)then h1=1111。 and q2Hz=39。then若 sel_show 為 “1”,數(shù)碼管顯示鬧鐘時間 if(flashh=39。 elsif sel_show=39。sec0=ts0。min0=tm0。h0=th0。sec0=1111。min0=tm0。h0=th0。139。139。sec0=ts0。min0=1111。h0=th0。139。139。sec0=ts0。min0=tm0。h0=1111。139。139。039。 end show_con。 sec0,min0,h0: out std_logic_vector(3 downto 0)。 bh0,bm0:in std_logic_vector(3 downto 0)。 th0,tm0,ts0:in std_logic_vector(3 downto 0)。 use 。 ( 2)源程序: library ieee。 ( 2)仿真波形圖: 8 ( 3)模塊圖 : 報時模塊 () ( 1)模塊說明:該模塊既實現(xiàn)了整點報時的功能,又實現(xiàn)了鬧鈴的功能,蜂鳴器通過所選頻率的不同,而發(fā)出不同的聲音。 end architecture one。 end if。 若 s=0,y 輸出 a,反之輸出 b。039。 end entity mux21a。 entity mux21a is port(a,b,s:in bit。 use 。 ( 3)模塊圖 : 控制器模塊 () ( 1)模塊說明:輸入端口 k, set 鍵來控制 6 個狀態(tài),這 六 個狀態(tài)分別是 : 顯示計時時間狀態(tài),調(diào)計時的時、分、秒 的 3 個 狀態(tài),調(diào)鬧鈴的時、分的 3 個 狀態(tài), reset 鍵是復(fù)位鍵,用來回到顯示計時時間的狀態(tài)。 end process。 end if。q1Hz=39。039。 then cout:=cout+1。event and q2Hz=39。 P1HZ:process(q2Hz) variable cout:integer:=0。 end if。 else cout:=0。 elsif cout250 then q2Hz=39。 if cout=125 then q2Hz=39。139。 begin if q500Hz39。 end process。 end if。q500Hz=39。039。 then cout:=cout+1。event and q1KHz=39。 P500HZ:process(q1KHz) q1KHz作為輸入信號,分出 q500Hz variable cout:integer:=0。 end if。 當 25000cout=50000 時, q1KHz else cout:=0。 當 cout=25000 時, q1KHz輸出“ 0” elsif cout50000 then q1KHz=39。 每來個時鐘上升沿時 cout 開始計數(shù) if cout=25000 then q1KHz=39。139。 begin if CLK39。 end freq。 q2Hz: buffer std_logic。 輸入時鐘信號 q1KHz: buffer std_logic。 use 。 ( 2) 源程序: library ieee。 (五)鬧鐘:鬧鐘定時時間到,蜂鳴器發(fā)出 頻率 為 1000Hz的高音 ,持續(xù)時間為60 秒 。 (三)整點報時:蜂鳴器在 “ 59” 分鐘的第 “ 51” 、 “ 53” 、 “ 55” 、 “ 57” 秒發(fā)頻率為 500Hz的低音,在 “ 59” 分鐘的第 “ 59” 秒發(fā)頻率為 1000Hz 的高音,結(jié)束時為整點。 ( 4) 鬧鐘“ 小時 ” 校準狀態(tài) : 在 鬧鐘“ 小時 ” 校準狀態(tài)下,顯示 “ 小時 ” 的數(shù)碼管 以 2Hz閃爍,并 按下“ set”鍵時 以 2Hz的頻率遞增計數(shù)。 ( 2) “ 分 ” 校準狀態(tài):在 “ 分 ” 校準狀態(tài)下,顯示 “ 分 ” 的數(shù)碼管 以 2Hz閃爍,并 按下“ set”鍵時 以 2Hz的頻率遞增計數(shù)。 若校時過程中按下“ reset”鍵,則系統(tǒng)恢復(fù)到正常計數(shù)狀態(tài)。 多功能數(shù)字電子鐘系統(tǒng)功能的具體描述如下 : (一)計時:正常工作狀態(tài)下,每日按 24h 計時制計時并顯示,蜂鳴器無聲,逢整點報時。 二、設(shè)計環(huán)境: Quartus II 三、系統(tǒng)功能描述 系統(tǒng)輸入:時鐘信號 clk 采用 50MHz;系統(tǒng)狀態(tài)及較時、定時轉(zhuǎn)換的控制信號為 k、 set,校時 復(fù)位信號為 reset, 均由按鍵信號產(chǎn)生。 1 基于 VHDL 的多功能數(shù)字鐘 設(shè)計報告 021215 班 衛(wèi)時章 02121451 2 一、設(shè)計要求 具有以二十四小時制計時、顯示、整點報時、時間設(shè)置和鬧鐘的功能。 設(shè)計精度要求為 1 秒 。 系統(tǒng)輸出: LED 顯示輸出;蜂鳴器聲音信號輸出。 (二)校時:在計時顯示狀態(tài)下, 按下“ k”鍵, 進入 “ 小時 ”待 校準狀態(tài), 若此時按下“ set”鍵,小時開始校準; 之后按 下“ k” 鍵則進入 “ 分 ”待 校準狀態(tài) ;繼續(xù)按下 “ k” 鍵則進入 “ 秒 ”待 復(fù)零狀態(tài) ;再 次按 下“ k” 鍵 數(shù)碼管顯示鬧鐘時間,并進入鬧鐘“小時”待校準狀態(tài);再次按下“ k”鍵則進入鬧鐘“分”待校準狀態(tài);若再按下“ k”鍵 恢復(fù)到正常計時顯示狀態(tài)。 ( 1) “ 小時 ” 校準狀態(tài) : 在 “ 小時 ” 校準狀態(tài)下,顯示 “ 小時 ” 的數(shù)碼管 以 2Hz閃爍,并 按下“ set”鍵時 以 2Hz的頻率遞增計數(shù)。 ( 3) “ 秒 ” 校準狀態(tài):在 “ 秒復(fù)零 ” 狀態(tài)下,顯示 “ 秒 ” 的數(shù)碼管 以 2Hz閃爍,并以 1Hz的頻率遞增計數(shù)。 ( 5) 鬧鐘“分” 校準狀態(tài) : 在 鬧鐘“分” 校準狀態(tài)下,顯示 “ 分” 的數(shù)碼管 以2Hz閃爍,并 按下“ set”鍵時 以 2Hz的頻率遞增計數(shù)。 (四)顯示:采用掃描顯示方式驅(qū)動 4 個 LED 數(shù)碼管顯示小時、分 , 秒 由兩組led 燈以 4 位 BCD 碼顯示。 四、各個模塊分析說明 分頻器模塊 () ( 1)模塊說明:輸 入一個頻率為 50MHz的 CLK, 利用計數(shù)器分出 1KHz的 q1KHz, 500Hz的 q500Hz, 2Hz 的 q2Hz和 1Hz的 q1Hz。 3 use 。 entity freq is port (CLK: in std_logic 。 q500Hz: buffer std_logic。 q1Hz: out std_logic)。 architecture bhv of freq is begin P1KHZ:process(CLK) variable cout:integer:=0。event and CLK=39。 then cout:=cout+1。039。139。 輸出“ 1”,完成 1KHz頻率輸出 end if。 end process。 begin if q1KHz39。139。 if cout=1 then q500Hz=39。 二分頻 elsif cout=2 then cout:=0。139。 end if。 P2HZ:process(q500Hz) variable cout:integer:=0。event and q500Hz=39。 then cout:=cout+1。039。139。 4 end if。 end process。 begin if q2Hz39。139。 if cout=1 then q1Hz=39。 elsif cout=2 then cout:=0。139。 end if。 end bhv。 ( 2) 波形仿真圖: ( 3) 模塊圖: 5 二選一模塊 () ( 1)源程序: library ieee。 use 。 y:out bit)。 architecture one of mux21a is begin process(a,b,s) begin if s=39。 then y=a。 else y=b。 end process。 ( 2)仿真波形圖: ( 3) 模塊圖: 6 計時模塊 () ( 1)仿真波形圖: ( 2)模塊圖: () ( 1)仿真波形圖: ( 2)模塊圖: () ( 1)仿真波形圖 : ( 2)模塊圖: 7 () ( 1)仿真波形圖: ( 2)模塊圖: () ( 1)仿真波形圖: ( 2)模塊圖 : 鬧鐘比較模塊 () ( 1)模塊說明:比較正常計數(shù)時間與鬧鐘定時時間 是否相等,若相等, pout輸出“ 1”,反之輸出“ 0”。 ( 2)仿真波形圖: ( 3)模塊圖: 控制顯示模塊 () ( 1) 模塊說明:該模塊實現(xiàn)了數(shù)碼管既可以顯示正常時間,又可以顯示鬧鐘時間的功能;調(diào)時 過程的 定時閃爍功能也在此模塊中真正實現(xiàn)。 use 。 9 entity show_con is port(th1,tm1,ts1:in std_logic_vector(7 downto 4)。 bh1,bm1:in std_logic_vector(7 downto 4)。 sec1,min1,h1: out std_logic_vector(7 downto 4)。 q2Hz,flashs,flashh,flashm,sel_show:in std_logic)。 architecture rtl of show_con is begin process(th1,tm1,ts1,th0,tm0,ts0,bh1,bm1
點擊復(fù)制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1