freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的數(shù)字波形發(fā)生器系統(tǒng)設(shè)計-文庫吧資料

2024-11-20 15:31本頁面
  

【正文】 ut integer range 1023 downto 0。 entity PIR_ADD is Port ( sysclk,reset : in std_logic。 use 。 相位累加器 及三角波、矩形波、三角波發(fā)生器 程序名: library IEEE。 when 0998 = D=107。 when 0996 = D=106。 = D=104。 DD = conv_std_logic_vector(D,9) 。 end case。 when 0000 = D=127。 when 1022 = D=126。 when 1020 = D=124。 when 1018 = D=123。 when 1016 = D=121。 when 1014 = D=120。 when 1012 = D=118。 when 0010 = D=135。 when 0008 = D=133。 when 0006 = D=131。 when 0004 = D=130。 when 0002 = D=128。 architecture Behavioral of sinx is SIGNAL D : INTEGER RANGE 255 DOWNTO 0 。 DD : out std_logic_vector(8 downto 0) )。 use 。 use 。 end Behavioral。 sinx_u :sinx port map (Qt=addr_p,DD=sin_data_p)。 begin 9 key_u : key port map (sysclk=sysclk,reset=reset,add=add,sub=sub,key1=key1,clock3200=clock3200_p, led1=led1,led10=led10,led100=led100,led1000=led1000,N=N_p)。 signal clock3200_p :std_logic。 end ponent。 sin_data,tri_data,asl_data,rec_data:in std_logic_vector(8 downto 0)。 end ponent。 ponent sinx PORT ( Qt : in INTEGER RANGE 1023 DOWNTO 0 。 aslant,triangle,rectangular:out std_logic_vector( 8 downto 0))。 N :in integer range 1023 downto 0。 end ponent。 led1,led10,led100,led1000,clock3200: out std_logic。 end top。 led1,led10,led100,led1000: out std_logic。 use 。 use 。 3. 趙俊超等 .集成電路設(shè)計 VHDL 教程 .【 M】 .北京 .北京希望電子出版社 .2020。 8 參考文獻(xiàn): 1. 黃正謹(jǐn),徐堅,章小麗等 .CPLD 系統(tǒng)設(shè)計技術(shù)入門與應(yīng)用【 M】 . 北京:電子工業(yè)出版社 .2020。輸出波形的頻率可調(diào)范圍寬,可等步進(jìn)調(diào)節(jié)且步進(jìn)小。 理論值( HZ) 實測值( HZ) 誤差(%) 理論值( HZ) 實測值( HZ) 誤差(%) 10 0 800 20 0 1k 30 0 2k 40 0 5k 50 0 8k 100 9k 200 10k 500 表 正弦波理論值與實測值比較 7 圖 理論值與實測值曲線 圖 誤差分析圖 頻率范圍: 10Hz~10kHz, 最小 可調(diào)步進(jìn): 10Hz。 波形選擇模塊 : 程序見附錄第 12 頁 。 程序見附錄第 9 頁 。 z=round(y) z = Columns 1 through 10 128 128 129 130 131 131 132 133 134 135 Columns 11 through 20 135 136 137 138 138 139 140 141 142 142 …… Columns 1021 through 1024 125 126 127 127 波形 存儲 器 的設(shè)計 : 使用開發(fā)軟件為 Xilinx ISE ,編程語言為 VHDL,仿真工具為 ModelSim Xilinx Edition 6 XE, 程序見附錄第 9 頁。 x=0:step:2*pi。使用有限狀態(tài)機(jī)設(shè)計,可以減少大量的按鍵,操作簡單。 波形選擇模塊 與 鍵盤控制模塊 設(shè)計: 波形選擇用于按鍵選擇輸出的波形類型,采用一個按鍵,每按一次變換一種波形,選到最后一個之后又重頭開始。 10V。 幅度調(diào)節(jié) : 直接對數(shù)模轉(zhuǎn)換芯片的電阻網(wǎng)絡(luò)的基準(zhǔn)電壓進(jìn)行調(diào)節(jié), DAC0832 的基準(zhǔn)電壓為177。由式( 21) 舉例說明累加器位數(shù)不同產(chǎn)生 的差異: )(132104857633554432 HzSS ??? 式 (23) )(13355443233554432 HzSS ?? 式 (24) 式( 24)產(chǎn)生的波形將遠(yuǎn)遠(yuǎn)優(yōu)于式 (23),更優(yōu)于我們現(xiàn)在所得 到 的波形,最高頻率可提高幾倍。不過,即使這樣,得到的波形依然很平滑,可以滿足設(shè)計要求。 因此,只要控制 S的值就可以準(zhǔn)確地實現(xiàn)頻率步進(jìn)為 10Hz 的等步進(jìn)調(diào)頻 。 輸出波形的頻率可由式 ( 21) 計算: Skff N osc ??? 20 式 ( 21) 其中, fosc 為晶振頻率, k 為分頻系數(shù), N 為相位累加器位數(shù), S 為相位累加器步長。 頻率 與 幅度調(diào) 節(jié) 的 原理及 實現(xiàn) : 頻率等步進(jìn)調(diào)節(jié)的實現(xiàn) : 由于采用 DDFS,在 ROM 中存有波形一個周期的 n 個等間隔歸一化采樣數(shù)據(jù),改變 相位累加器的步進(jìn), 從而改變對 ROM 中數(shù)據(jù)的讀取速度,即可合成不同頻率的波形, 存儲器 中存入過量的 采樣 值,使得采樣點數(shù)較 少 時 , 依然能夠得到較好的波形輸出,從而得到較高的頻率輸出。三角波的產(chǎn)生是使幅度逐次增加一個相位 進(jìn) ,一直到最大值后變?yōu)椴街鸫?減少一個相位步進(jìn),如此便產(chǎn)生一個周期的波形,鋸齒波與三角波類似,只是到達(dá)最大值后又從 0 開始。再經(jīng)過 D/A 轉(zhuǎn)換,便可得到連續(xù)的正弦波。這里采用 1024 個采樣點,是為了調(diào)頻時能得到較好的波形。 總體設(shè)計 :
點擊復(fù)制文檔內(nèi)容
環(huán)評公示相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1