freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于cpld的midi音樂播放器的設(shè)計(jì)-文庫(kù)吧資料

2025-06-30 15:40本頁(yè)面
  

【正文】 er range 0 to 4。use 。end。end case。when 15 = Tone=4141。when 13 = Tone=3089。when 11 = Tone=6859。when 9 = Tone=6488。when 7 = Tone=6166。when 5 = Tone=5640。when 3 = Tone=5157。when 1 = Tone=4369。END。entity ToneTaba isPORT(index: IN INTEGER RANGE 0 TO 15。音符預(yù)置數(shù)模塊library ieee。end process。end if。else SpkS=39。 then SpkS=39。if Count2=39。139。beginif FullSpkS39。end process。end if。FullSpkS=39。139。 thenif Count11=161FFF thencount11:=Tone。event and PreCLK=39。process(PreCLK, Tone)variable Count11: integer range 0 to 161FFF。end if。139。elsif clk39。139。039。beginprocess(clk)variable count4:integer range 0 to 15。architecture one of Speakera issignal PreCLK:std_logic。 SpkS: OUT STD_LOGIC)。entity Speakera isPORT(clk: IN STD_LOGIC。揚(yáng)聲器發(fā)生及分頻模塊library ieee。end process。end case。when 15 = lights=001001001。when 13 = lights=100100100。when 11 = lights=111111000。when 9 = lights=111000000。when 7 = lights=001000000。when 5 = lights=000011000。when 3 = lights=000000111。when 1 = lights=000000001。139。architecture one of light isbegin process(clk,Tone)begin if (clk39。lights:out std_logic_vector(8 downto 0))。entity light isport(clk: in std_logic。彩燈閃爍控制模塊library ieee。end process。when others=dig=0。when 1101=dig=3。architecture one of choose isbeginprocess(switch)begincase switch iswhen 0111=dig=1。 dig:out integer range 0 to 4)。use 。END。u4: light port map(clk=clk16HZ,Tone=ToneIndex, lights=lights)。u2: ToneTaba PORT MAP(Index=ToneIndex, Tone=Tone)。SIGNAL dig1: integer range 0 to 4。SIGNAL Tone: INTEGER RANGE 0 TO 161FFF。lights:out std_logic_vector(8 downto 0))。 ponent lightport(clk: in std_logic。 SpkS: OUT STD_LOGIC)。COMPONENT SpeakeraPORT(clk: IN STD_LOGIC。 dig:out integer range 0 to 4)。END COMPONENT。COMPONENT ToneTabaPORT(index: IN INTEGER RANGE 0 TO 15。 ToneIndex: OUT INTEGER RANGE 0 TO 15)。ARCHITECTURE one OF Songer ISCOMPONENT NoteTabsPORT(clk: IN STD_LOGIC。 lights:out std_logic_vector(8 downto 0))。 switch:in std_logic_vector(3 downto 0)。 CLK4HZ: IN STD_LOGIC。USE 。在設(shè)計(jì)的過(guò)程中,還掌握了許多有關(guān)電子領(lǐng)域必要軟件的使用,對(duì)今后的工作都有一定得幫助。在此次設(shè)計(jì)中,所有設(shè)計(jì)要求全部實(shí)現(xiàn),然而在音樂的播放質(zhì)量上仍有一些瑕疵,可能是由于揚(yáng)聲器的質(zhì)量問題或者芯片內(nèi)部產(chǎn)生信號(hào)不穩(wěn)定等關(guān)系,這都有待更多的時(shí)間對(duì)整個(gè)系統(tǒng)進(jìn)行更多的測(cè)試,從而使播放出來(lái)的音樂更加悅耳。結(jié)論通過(guò)對(duì)芯片內(nèi)部的編程設(shè)計(jì),加上必要的外圍電路,構(gòu)成了這個(gè)MIDI音樂播放器的系統(tǒng),整體工作量適中。 音頻功率放大的調(diào)試通過(guò)調(diào)節(jié)Rw的阻值來(lái)改變輸入到音頻放大器LM386的音頻信號(hào)的電壓值。 彩燈控制時(shí)鐘的調(diào)試彩燈控制時(shí)鐘頻率要求在十幾道幾十赫茲之間。 音樂節(jié)奏時(shí)鐘的調(diào)試音樂節(jié)奏時(shí)鐘理論要求是4Hz,在實(shí)際的演奏過(guò)程中,頻率稍微高于4Hz效果較好,通過(guò)改變R1的電阻來(lái)改變音樂節(jié)奏適中,從而改變音樂節(jié)奏。 系統(tǒng)調(diào)試把程序下載到芯片內(nèi)部后,將第四章所介紹的所有外圍電路與CPLD連接后,形成整個(gè)系統(tǒng),總電路圖見附錄A。在Quartus軟件中VHDL文本輸入中,輸入所有程序,并建立相應(yīng)的工程項(xiàng)目,直接在該軟件中進(jìn)行編譯及仿真,編譯的同時(shí),可以顯示出當(dāng)前芯片容量是否夠用,如果不夠用,則需要對(duì)程序進(jìn)行進(jìn)一步優(yōu)化,直到容量達(dá)到芯片標(biāo)準(zhǔn)為止。Altera的Quartus II是業(yè)內(nèi)領(lǐng)先的FPGA/CPLD設(shè)計(jì)軟件,具有最全面的開發(fā)環(huán)境,實(shí)現(xiàn)無(wú)與倫比的性能表現(xiàn)[18]。 開關(guān)電路及彩燈電路第5章 芯片制作及系統(tǒng)調(diào)試 CPLD程序下載本次設(shè)計(jì)采用的是Aletra公司的MAX II系列,因此,必須用Quartus II軟件系列才能對(duì)該芯片進(jìn)行編譯、仿真、下載等功能。彩燈由9個(gè)發(fā)光二極管構(gòu)成,紅、綠、黃每色各三個(gè)。 音頻功率放大電路圖 音樂選擇開關(guān)與彩燈閃爍控制電路這兩部分電路很簡(jiǎn)單。其中C2是交流耦合電容,將功率放大器的交流輸出送到負(fù)載上,輸出信號(hào)通過(guò)Rw接到LM386的同相端。 音頻功率放大電路由CPLD芯片輸出的音頻信號(hào)很微弱,不能直接去驅(qū)動(dòng)揚(yáng)聲器,因此需要一個(gè)音頻放大電路對(duì)輸出的音頻信號(hào)進(jìn)行放大,然后再去驅(qū)動(dòng)揚(yáng)聲器。為了使輸出的脈沖比較穩(wěn)定、減少外界干擾,將輸出的脈沖再經(jīng)過(guò)D觸發(fā)器(7474)后送給CPLD芯片,因此555定時(shí)器件腳輸出的脈沖頻率應(yīng)提高一倍(即8Hz),這樣R1+。我們要求輸出的頻率為4Hz,C=47uf,所以R1+。由3腳輸出的脈沖頻率的計(jì)算公式如公式()所示。彩燈閃爍時(shí)鐘也同樣如此。 音樂節(jié)奏時(shí)鐘在上一章節(jié)中提到,我們以1/16音符為最小計(jì)數(shù)單元,所以我們?cè)谕獠拷尤?Hz的時(shí)鐘信號(hào)給計(jì)數(shù)器,已滿足正常的音樂播放要求。第4章 外圍電路設(shè)計(jì) 時(shí)鐘電路在本次設(shè)計(jì)中,除了要提供給CPLD的4MHz晶振時(shí)鐘以外,還要通過(guò)外圍電路接入音樂節(jié)奏時(shí)鐘和彩燈閃爍節(jié)奏時(shí)鐘。由于現(xiàn)在WAVE、MP3格式音樂的廣泛流行,MIDI音樂聽起來(lái)會(huì)稍顯單調(diào),有些歌曲在用MIDI放出來(lái)的時(shí)候,會(huì)有一些失真,而且沒有MP3格式音樂那么流暢,因此在選歌上要慎重,所以盡量選擇多一些歌曲,通過(guò)多次的調(diào)試,調(diào)試出最后放出來(lái)效果較好的音樂。 頂層模塊設(shè)計(jì)頂層模塊的設(shè)計(jì)可以采用原理圖設(shè)計(jì)或者VHDL設(shè)計(jì),我們直接采用VHDL語(yǔ)言進(jìn)行頂層模塊設(shè)計(jì),將上述所提到的所有模塊,利用ponent語(yǔ)句進(jìn)行集合,然后利用管腳映射的方法,將所有輸入輸出連接在一起,這樣就設(shè)計(jì)出了一個(gè)完整的MIDI音樂播放芯片,只需再連入外圍電路即可進(jìn)行演示。 不同音符對(duì)應(yīng)彩燈閃爍表音名彩燈閃爍(1為亮/ 0為滅)低音5100100100低音6010010010低音7001001001中音1000000001中音2000000011中音3000000111中音4000001000中音5000011000中音6000111000中音7001000000高音1011000000高音2111000000高音3000111111高音4111111000高音5111000111休止符0111111111 開關(guān)選擇模塊設(shè)計(jì)除了上述幾個(gè)主要模塊以外,還有一個(gè)開關(guān)選擇模塊的設(shè)計(jì),采用case語(yǔ)句,對(duì)外部電路輸入的一個(gè)字符串進(jìn)行判斷,芯片內(nèi)部處理這個(gè)字符串,從而判斷選擇的是哪首歌曲的播放。為不同的音符對(duì)應(yīng)的彩燈閃亮情況。 彩燈閃爍控制模塊的設(shè)計(jì)彩燈閃爍控制電路主要是控制燈的亮和滅,此電路輸出高低電平信號(hào),就可以直接驅(qū)動(dòng)發(fā)光二極管[15]?!?”表示休止符,在很多音樂中,休止符也是一個(gè)重要的音符。對(duì)于不同的分頻系數(shù),只要加載不同的初始值即可。由于最大的分頻系數(shù)為5102,故采用13位二進(jìn)制計(jì)數(shù)器已能滿足分頻要求。所以,上圖所給出的分頻系數(shù)是用分頻后的2MHz基礎(chǔ)上計(jì)算得出的。由于系統(tǒng)要求產(chǎn)生出的信號(hào)頻率較高,因此選用4MHz高頻脈沖作為可變模值計(jì)數(shù)器的技計(jì)數(shù)脈沖。若想編輯音域更廣的音樂,則需要重新設(shè)定初始值。根據(jù)可變模值計(jì)數(shù)器的設(shè)計(jì)原理及音符的分頻系數(shù),可計(jì)算出樂曲中各音符的預(yù)置數(shù)。 音調(diào)發(fā)生模塊設(shè)計(jì)此模塊包括預(yù)置數(shù)產(chǎn)生電路和頻率發(fā)生器。在節(jié)拍控制產(chǎn)生電路的接拍信號(hào)作用下,按樂曲中音符持續(xù)時(shí)間的長(zhǎng)短輸出相應(yīng)音符名稱。另外,在設(shè)計(jì)中為了讓歌曲循環(huán)播放,計(jì)數(shù)器設(shè)定計(jì)滿自動(dòng)清零計(jì)數(shù)的功能,這樣,只要不斷電,不關(guān)開關(guān),歌曲就可以自動(dòng)循環(huán)播放了。為了節(jié)省內(nèi)部資源,我們只演奏高潮部分。節(jié)拍控制電路以樂曲中最短音符的節(jié)拍為基準(zhǔn),產(chǎn)生樂曲所需要的全部節(jié)拍。為CPLD內(nèi)部芯片設(shè)計(jì)原理圖。第3章 MIDI音樂播放器芯片設(shè)計(jì) 工作原理 音調(diào)發(fā)生器MIDI音樂是Windows下的一種合成音樂,由于它通過(guò)記譜的方式來(lái)記錄一段音樂,因此與wave音樂相比,它可以極大地減少存儲(chǔ)容量。因此,揚(yáng)聲器的選擇要等到最后全部系統(tǒng)設(shè)計(jì)完成后,實(shí)地選擇播放效果較好的揚(yáng)聲器。鑒于最后編譯的結(jié)果,芯片內(nèi)部資源允許四位二進(jìn)制數(shù)組的開關(guān)程序,所以最后直接選用四位二進(jìn)制數(shù)組開關(guān)。從模擬電路知識(shí)中可以知道,采用555定時(shí)器構(gòu)成的多諧振蕩器來(lái)實(shí)現(xiàn)這兩塊電路最佳,能夠滿足我們所需要的小頻率時(shí)鐘信號(hào)。另外,為了使整個(gè)設(shè)計(jì)盡量簡(jiǎn)潔,程序中的四首歌全部編寫歌曲的高潮部分,這樣聽起來(lái)更加耳熟能詳,同時(shí)也能節(jié)省更多的芯片內(nèi)部資源。揚(yáng)聲器基準(zhǔn)時(shí)鐘音頻放大器音調(diào)發(fā)生器音樂選擇開關(guān)音樂編碼器音樂節(jié)奏時(shí)鐘彩燈閃爍控制器彩燈閃爍節(jié)奏時(shí)鐘彩燈CPLD 音樂播放器的工作原理圖 CPLD設(shè)計(jì)思路在購(gòu)買CPLD芯片之前,首先對(duì)所編寫好的程序在Quartus II軟件中進(jìn)行編譯仿真,在編譯中,軟件會(huì)自動(dòng)計(jì)算出整個(gè)程序所需要的CPLD邏輯單元數(shù)。彩燈閃爍控制器根據(jù)編碼將當(dāng)前要播放的音符對(duì)應(yīng)的彩燈亮滅狀態(tài)送給彩燈[9]。音樂編碼器控制著音調(diào)發(fā)生器和彩燈閃爍控制器,每當(dāng)音樂節(jié)奏時(shí)鐘送給音樂編碼器一個(gè)時(shí)鐘脈沖時(shí),音樂編碼器就當(dāng)前要播放的音符的編碼送給音調(diào)發(fā)生器和彩燈閃爍控制器。其核心模塊為CPLD芯片,內(nèi)部有音調(diào)發(fā)生器、音樂編碼器和彩燈閃爍控制器三個(gè)模塊。該芯片共有100個(gè)管腳,內(nèi)部240個(gè)邏輯單元,為該芯片的封裝圖及管腳功能[8]。MAX II器件提供的密度范圍從240到2210個(gè)邏輯單元(LE),最多達(dá)272個(gè)用戶I/O管腳。以滿足通用性,低密度邏輯應(yīng)用為目標(biāo),MAX II器件成為接口橋接、I/O擴(kuò)展、器件配置和上電順序等應(yīng)用最理想的解決方案。因此,MAX II CPLD是所有CPLD系列產(chǎn)品中成本最低、功耗最小和密度最高的器件。這些超級(jí)性能是在提供了所有MAX系列CPLD 先進(jìn)特性的架構(gòu)的基礎(chǔ)上,根據(jù)Altera專家們的意見而重新采用基于查找表的架構(gòu)而得到的。第2章 總體設(shè)計(jì)概述 MAX II 系列CPLDMAX II器件系列是一種非易失性、即用性可編程邏輯系列,它采用了一種突破性的新型CPLD架構(gòu)。外圍電路都是一些最基本,最常見的模擬電路,因此在使用不會(huì)有太大問題,但有一個(gè)要注意的地方,就是在一些電阻和電容的取值上,要比較細(xì)心,比如說(shuō)在放大器的發(fā)生模塊上,要求驅(qū)動(dòng)揚(yáng)聲器的電阻值就比較小,而且非常精確,一旦太大,可能會(huì)導(dǎo)致無(wú)法驅(qū)動(dòng)揚(yáng)聲器,因此可以盡量采用滑動(dòng)變阻器,通過(guò)計(jì)算與調(diào)試后,得出比較精準(zhǔn)的數(shù)據(jù)[7]。另外,CPLD器件的選擇也是一個(gè)關(guān)鍵。本
點(diǎn)擊復(fù)制文檔內(nèi)容
職業(yè)教育相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1