freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

數(shù)字電路課程設(shè)計(jì)報(bào)告—交通燈(參考版)

2024-10-25 00:56本頁(yè)面
  

【正文】 3基于CD401712路回閃燈的設(shè)計(jì)與實(shí)現(xiàn)電路由555組成的多諧振蕩器和CD4017十進(jìn)制計(jì)數(shù)/譯碼電路構(gòu)成。電路由555組成的多諧振蕩器和CD4017十進(jìn)進(jìn)制計(jì)數(shù)/譯碼電路組成。2基于CD4017流水燈的設(shè)計(jì)與實(shí)現(xiàn)CD4017流水燈由555組成的多諧振蕩器和CD4017十進(jìn)進(jìn)制計(jì)數(shù)/譯碼電路組成。上電后,CD4518為二/十進(jìn)制加法計(jì)數(shù)器,CD4511為譯碼驅(qū)動(dòng)器,電容容量愈大,充電時(shí)間愈長(zhǎng),則振蕩頻率愈低。2基于數(shù)字電路兩位自動(dòng)計(jì)數(shù)器的設(shè)計(jì)與實(shí)現(xiàn)兩位自動(dòng)計(jì)數(shù)器兩位數(shù)碼管自動(dòng)顯示099,數(shù)字可清零。待恢復(fù)正常后設(shè)備繼續(xù)運(yùn)行。2基于數(shù)字電路自動(dòng)溫控報(bào)警電路的設(shè)計(jì)與實(shí)現(xiàn)現(xiàn)實(shí)生活中,常常需要進(jìn)行溫度控制。電路主要由NE555,4518,4511實(shí)現(xiàn)。基于CD4011路燈開(kāi)關(guān)模擬電路的設(shè)計(jì)與實(shí)現(xiàn)從節(jié)約用電的角度出發(fā),路燈開(kāi)關(guān)在每天傍晚時(shí)全部燈亮,后半夜行人稀少,路燈關(guān)掉一半,第二天清早路燈全部關(guān)閉。1基于555簡(jiǎn)易催眠器的設(shè)計(jì)與制作時(shí)基電路555構(gòu)成一個(gè)極低頻振蕩器,輸出一個(gè)個(gè)短的脈沖,使揚(yáng)聲器發(fā)出類似雨滴的聲音1基于數(shù)字電路電動(dòng)機(jī)轉(zhuǎn)速表的設(shè)計(jì)與實(shí)現(xiàn)在電動(dòng)機(jī)轉(zhuǎn)動(dòng)時(shí),人眼無(wú)法統(tǒng)計(jì)電動(dòng)機(jī)單位時(shí)間轉(zhuǎn)轉(zhuǎn)的圈數(shù),即使電動(dòng)機(jī)每秒鐘只轉(zhuǎn)動(dòng)幾圈,我們也無(wú)法準(zhǔn)確的數(shù)數(shù)來(lái)得到電動(dòng)機(jī)每分鐘的轉(zhuǎn)動(dòng)圈數(shù)。7個(gè)發(fā)光二極管模擬骰子的點(diǎn)數(shù),當(dāng)按下按鈕1秒以上,骰子上的發(fā)光二極管高速循環(huán)點(diǎn)亮,之后循環(huán)速度越來(lái)越慢并最終隨機(jī)停止于某個(gè)點(diǎn)上。通過(guò)編寫(xiě)程序,在它內(nèi)部存儲(chǔ)若干幅圖形和文字,用一只輕觸按鈕來(lái)選擇要顯示的內(nèi)容,并且使用一只動(dòng)作傳感器開(kāi)關(guān)來(lái)確保顯示正常。接通電源后,它上面的一列LED(發(fā)光二極管)不停地閃爍,當(dāng)你搖動(dòng)它時(shí),會(huì)看見(jiàn)空中夢(mèng)幻般的浮現(xiàn)一個(gè)個(gè)笑臉、愛(ài)心等圖案或者文字。1變音警笛電路的設(shè)計(jì)與實(shí)現(xiàn) 設(shè)計(jì)一款電路,該電路采用兩片NE555時(shí)基集成電路構(gòu)成的變音警笛電路,能發(fā)出“嗚哇嗚哇”的警笛聲。1基于CD4060夢(mèng)幻燈的設(shè)計(jì)與實(shí)現(xiàn)(基于proteus仿真)設(shè)計(jì)一款電路,使其具有多種美麗的聲光效果,三種顏色的LED隨機(jī)組合,五彩斑斕,配有生日快樂(lè)音樂(lè)芯片,閃光的同時(shí)有生日快樂(lè)音樂(lè)播放,蜂鳴器發(fā)生,音樂(lè)芯片直接可以裝到電路板上,備有電源開(kāi)關(guān),方便控制,可以外接交流電源或電池。1八路聲光報(bào)警器的設(shè)計(jì)與實(shí)現(xiàn)八路聲光報(bào)警器中八位優(yōu)先編碼器CD4532將輸入D0~D7的八路開(kāi)關(guān)量譯成三位BCD碼,經(jīng)BCD鎖存/七段譯碼/驅(qū)動(dòng)器CD4511譯碼,驅(qū)動(dòng)共陰極數(shù)碼管顯示警報(bào)電路0—7,路輸入開(kāi)關(guān)中的任一路開(kāi)路,顯示器即顯示該路號(hào),發(fā)出數(shù)碼光報(bào)警;同時(shí)優(yōu)先編碼器CD4532的GS段輸出高電平,使開(kāi)關(guān)三極管飽和導(dǎo)通,啟動(dòng)聲報(bào)警電路工作。1基于數(shù)字電路六位數(shù)字鐘的設(shè)計(jì)與實(shí)現(xiàn)設(shè)計(jì)一款純數(shù)字電路打造的6位數(shù)字時(shí)鐘。通過(guò)3根導(dǎo)線與電路板連接,而3個(gè)LED分別代表不同的水位。水位指示的設(shè)計(jì)與實(shí)現(xiàn)電路的功能是檢測(cè)容器內(nèi)的水位。設(shè)計(jì)一款基于CD4511八路搶答器,該電路包括搶答,編碼,優(yōu)先,鎖存,數(shù)顯和復(fù)位?;跁r(shí)基電路555“叮咚”門(mén)鈴的設(shè)計(jì)與實(shí)現(xiàn)用NE555集成電路設(shè)計(jì)、制作一個(gè)“叮咚”門(mén)鈴,使該裝置能夠發(fā)出音色比較動(dòng)聽(tīng)的“叮咚”聲?;贑D4069無(wú)線音樂(lè)門(mén)鈴的設(shè)計(jì)與實(shí)現(xiàn)音樂(lè)門(mén)鈴已為人們所熟知,在一些住宅樓中都裝有音樂(lè)門(mén)鈴,當(dāng)有客人來(lái)訪時(shí),只要按下門(mén)鈴按鈕,就會(huì)發(fā)出“叮咚”的聲音或是播放一首樂(lè)曲,然而在一些已裝修好的室內(nèi),若是裝上有線門(mén)鈴,由于必須布線,從而破壞裝修,讓人感到非常麻煩。要求用CD4011設(shè)計(jì)有此功能的紅外線感應(yīng)開(kāi)關(guān)。燈亮后經(jīng)過(guò)40秒左右的延時(shí)節(jié)電開(kāi)關(guān)自動(dòng)關(guān)閉,燈滅。要求能夠?qū)崿F(xiàn)如下功能:水箱中的水位低于預(yù)定的水位時(shí),自動(dòng)啟動(dòng)水泵抽水;而當(dāng)水箱中的水位達(dá)到預(yù)定的高水位時(shí),使水泵停止抽水,始終保持水箱中有一定的水,既不會(huì)干,也不會(huì)溢,非常的實(shí)用而且方便。二、評(píng)分依據(jù):①設(shè)計(jì)思路,②單元電路正確與否,③整體電路是否完整,④電路原理說(shuō)明是否基本正確,⑤報(bào)告是否清晰,⑥答辯過(guò)程中回答問(wèn)題是否基本正確。⑷ 用protel畫(huà)原理電路圖。⑵ 畫(huà)出框圖中的各部分電路,對(duì)各部分電路的工作原理應(yīng)作出說(shuō)明。六.參考文獻(xiàn)(1)潘松,黃繼業(yè).《EDA技術(shù)使用教程》..(2)潘松,黃繼業(yè).《EDA技術(shù)與VHDL(第五篇:數(shù)字電路課程設(shè)計(jì)一、設(shè)計(jì)報(bào)告書(shū)的要求: (題目,設(shè)計(jì)要求,技術(shù)指標(biāo)等)(發(fā)展現(xiàn)狀、課程設(shè)計(jì)的意義、設(shè)計(jì)課題的作用等方面)。這次課程設(shè)計(jì)雖然結(jié)束了,相信以后我們會(huì)以更加積極的態(tài)度對(duì)待我們的學(xué)習(xí)、對(duì)待我們的生活。要面對(duì)社會(huì)的挑戰(zhàn),只有不斷的學(xué)習(xí)、實(shí)踐,再學(xué)習(xí)、再實(shí)踐。更重要的是,我們學(xué)會(huì)了很多學(xué)習(xí)的方法。課程設(shè)計(jì)對(duì)學(xué)生而言是其對(duì)所學(xué)課程內(nèi)容掌握情況的一次自我驗(yàn)證,從而有著極其重要的意義。我們采用模塊化編程,模塊化接線,再編譯總原理圖,思路比較清楚。都通過(guò)可以進(jìn)行管腳配對(duì),把程序燒入芯片,在實(shí)物上看結(jié)果,從顯示中得出還需改正的地方,再去改程序。直到?jīng)]有錯(cuò)誤。使用EDA技術(shù)開(kāi)發(fā)頁(yè)面的能力也有了很大提高。首先我們完成的基本的功能,只是簡(jiǎn)單的倒計(jì)時(shí)顯示,然后我們可以進(jìn)一步修改我們的VHDL語(yǔ)言程序,重新燒入FPGA,以完善我們的設(shè)計(jì)。一切就緒后,將與FPGA對(duì)應(yīng)的引腳使用杜邦線連接,連接完畢后,檢查電源極性,避免反接。圖3硬件焊接電路圖安裝焊接完成后,仔細(xì)檢查電路,是否有誤。end aa11。u5:fenwei port map(numin=d,numa=e,numb=f)。u3:counterport map(clock=b,reset=reset1,hold=hold1,countnum=c)。begin u1: FreDevider port map(clkin=clk1,clkout=a)。signal d:INTEGER RANGE 0 TO 90。signal a,b:std_logic。led_sel: out STD_LOGIC_VECTOR(3 downto 0))。NumA,NumB: in Integer RANGE 0 to 9。END ponent。ponent Fenwei PORT(Numin:IN integer RANGE 0 TO 90。countNum:BuFFeR INTEGER RANGE 0 TO 90)。reset:in std_logic。END ponent。RedA,GreenA,YellowA:out std_logic。CountNum:in INTEGER RANGE 0 TO 89。ponent countroller PORT(Clock:IN STD_LOGIC。Clkout:OUT Std_Logic)。END ponent。architecture aa11 of jiaotongdeng is ponent FreDevider PORT(Clkin:IN Std_Logic。redb1,yellowb1,greenb1:out std_logic)。led_sel1:out std_logic_vector(3 downto 0)。hold1:in std_logic。entity jiaotongdeng is port(clk1:in std_logic。6.頂層文件設(shè)置library ieee。u1:bcd_data PORT map(bcd_data=x,segout=segout1)。end case。139。begin p1:process(clk)begin if clk39。signal x:STD_LOGIC_VECTOR(3 downto 0)。segout:out STD_LOGIC_VECTOR(6 downto 0))。END dtsm。segout1:out STD_LOGIC_VECTOR(6 downto 0)。ENTITY dtsm IS PORT(clk:in STD_LOGIC。USE 。END。END CASE。END。ENTITY bcd_data IS PORT(bcd_data:in STD_LOGIC_VECTOR(3 downto 0)。ARCHITECTURE behavior OF Fenwei IS BEGIN process(Numin)BEGIN IF Numin=60 THEN NumA=50 THEN NumA=40 THEN NumA=30 THEN NumA=20 THEN NumA=10 THENNumA5.?dāng)?shù)碼管驅(qū)動(dòng)設(shè)計(jì) LIBRARY IEEE。NumA,NumB:OUT Integer RANGE 0 to 9)。USE 。139。END。Hold:in std_logic。USE 。 THEN RedAELSIF CountNum這里計(jì)數(shù)器的計(jì)數(shù)范圍為0—90S,下一個(gè)時(shí)鐘沿回復(fù)到0,當(dāng)檢測(cè)到特殊情況(Hold=‘1‘)發(fā)生時(shí),計(jì)數(shù)器暫停計(jì)數(shù)。ARCHITECTURE behavior OF Countroller IS BEGIN process(Clock)BEGIN IF falling_edge(Clock)THEN IF Hold=39。RedB,GreenB,YellowB:out std_logic)。NumA:out INTEGER RANGE 0 TO 90。Hold:in std_logic。USE 。功能:控制發(fā)光二極管的亮、滅,以及輸出倒計(jì)時(shí)數(shù)值給七段譯碼管的分位譯碼電路。BEGIN PROCESS(Clkin)begin IF rising_edge(Clkin)THEN IF Counter=N then counterclkout控制器的作用是根據(jù)計(jì)數(shù)器的計(jì)數(shù)值控制發(fā)光二極管的亮、滅,以及輸出倒計(jì)時(shí)數(shù)值給七段譯管的分譯碼電路。signal counter:Integer range 0 to N。END。ENTITY FreDevider1 IS PORT(Clkin:IN Std_Logic。BEGIN PROCESS(Clkin)begin IF rising_edge(Clkin)THEN IF Counter=N then counterLIBRARY IEEE。Signal counter:Integer range 0 to N。END。ENTITY FreDevider IS PORT(Clkin:IN Std_Logic。LIBRARY IEEE。三.軟件設(shè)計(jì)與調(diào)試圖2模塊化設(shè)計(jì)原理圖分頻器1實(shí)現(xiàn)的是將高頻時(shí)鐘信號(hào)轉(zhuǎn)換成底頻的時(shí)鐘信號(hào),用于觸發(fā)控制器、計(jì)數(shù)器和掃描顯示電路。分位譯碼電路:因?yàn)榭刂破鬏敵龅牡褂?jì)時(shí)數(shù)值可能是1位或者2位十進(jìn)制數(shù),所以在七段數(shù)碼管的譯碼電路前要加上分位電路(即將其分為2個(gè)1位的十進(jìn)制數(shù))。通過(guò)上面的分析,不難得知可以把交通燈控制系統(tǒng)劃分為4個(gè)模塊:時(shí)鐘分頻模塊,計(jì)數(shù)模塊,控制模塊,分位譯碼模塊。二.電路工作原理及方案設(shè)計(jì)圖1總體設(shè)計(jì)框圖在VHDL設(shè)計(jì)描述中,采用自頂向下的設(shè)計(jì)思路,
點(diǎn)擊復(fù)制文檔內(nèi)容
畢業(yè)設(shè)計(jì)相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1