freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的自動售貨機(jī)設(shè)計(jì)畢業(yè)論文(參考版)

2025-07-06 21:04本頁面
  

【正文】 同時(shí)我要感謝肖祖印等同學(xué)對我做畢業(yè)設(shè)計(jì)期間的幫助,由于他們的熱情幫助促使我能在規(guī)定時(shí)間內(nèi)完成我所做的課題,對于同學(xué)們的幫助我深懷感激之情。從課題的選擇到項(xiàng)目的最終完成, 劉 老師都始終給予我細(xì)心的指導(dǎo)和不懈的支持。 . Wang The Reconfigurable Embedded Nc System Based On Fpga . Key Engineering Materials ,20xx(1):703708. 13 Adec .VHDL Language Reference Guide. NV USA,1999 14 Mark D. Birnbaum .Essential electronic design automation (EDA). China Machine Press, 20xx. , 234 p. : 24 15 Rusdiansyah A, Tsao D B. An integratedmodel of the periodic delivery p roblems for vending machine supp ly chains [ J ]. Journal of Food Engineering, 20xx, 70 (3) : 421 434 40 致 謝 本課題在選題及研究過程中得到 劉艷萍 老師的親切關(guān)懷和悉心指導(dǎo)下完成的。 . Hu。 2 仿真過程中找零所得錢幣數(shù)目不能達(dá)到預(yù)期效果,通過調(diào)整主控模塊程序已得到解決。解決辦法是通過增加模塊,與輸入信號來解決,本 文不詳細(xì)闡述。不過缺點(diǎn)是只能完成相對比較簡單的自動售貨機(jī)功能,實(shí)現(xiàn)金額計(jì)算,發(fā)放商品,找零,退幣等功能。 主控模塊的仿真結(jié)果如下: 1 當(dāng) start 輸入為 0, t 輸入為 0, b 輸入為 5, d輸入為 4 時(shí), c輸出為 1,clr 輸出為 1, en 輸出為 1, en1 輸出為 1, reset 輸出為 1,仿真結(jié)果如圖 所示 圖 c 輸出為 1, clr 輸出為 1, en 輸出為 1, en1 輸出 為 1, reset 輸出為 1 時(shí)的仿真結(jié)果 2 當(dāng) start 輸 入為 1, t 輸入為 0, b 輸入為 5, d輸入為 4 時(shí), reset 輸出為1, clr 輸出為 0, en1 輸出為 0, en輸出為 0, en2 輸出為 0.,仿真結(jié)果為圖 所示 35 圖 reset 輸出為 1, clr 輸出為 0, en1 輸出為 0, en 輸出為0, en2 輸出為 0 時(shí)的仿真結(jié)果 3 當(dāng) start 輸入為 0, t 輸入為 1, b輸入為 2, d輸入為 4 時(shí)( bd), c輸出為 2, en1 輸出為 0, en2 輸出為 0, clr 輸出為 1, en 輸出為 0,仿真結(jié)果如圖 所示 圖 c 輸出為 2,en1 輸出為 0,en2 輸出為 0,clr 輸出為 1,en 輸出為 0 時(shí)的仿真結(jié)果 4 當(dāng) start 輸入為 0, t 輸入為 1時(shí), b 輸入為 5,當(dāng)輸入為 4 時(shí)( b=d), c輸出為 1, en 輸出為 0, en1 輸出為 0, clr 輸出為 1, en2 輸出為 0,仿真結(jié)果如圖 所示: 36 圖 c 輸出為 1, en 輸出為 0, en1 輸出為 0, clr 輸出為 1,en2 輸出為 0 時(shí)的仿真結(jié)果 頂層模塊 頂層模塊為自動售貨機(jī)軟件的總體部分,頂層模塊如圖 所示。 end a。 end if。 end if。139。139。139。 c=bvar。en1=39。139。di=0。en3=39。reset=39。clr=39。039。and bvar then current_state=qa。 elsif t=39。039。039。039。 c=0 。 and bvar then en1=39。 elsif t=39。139。139。139。c=bvar。en1=39。039。 end if。 en2=39。 else current_state=qb。039。c=0。clr=39。en2=39。 en1=39。 此段程序?yàn)槭圬洐C(jī)在初始狀態(tài)時(shí)的信號輸出,當(dāng) d輸入信號時(shí)進(jìn)入對比程序。 en2=39。 else current_state=qb。039。c=0。clr=39。en2=39。 en1=39。di=0。en3=39。clr=39。en2=39。 reset=39。 en1=39。 then current_state=qa。 if start=39。 and clk39。 begin wait until clk=39。 architecture a of zhukong is signal current_state:state:=qa。 di:out integer range 0 to 9)。 en1,en2, reset, clr,en3:out std_logic。 entity zhukong is port(start,clk,t:in std_logic。 use 。 end state_pack。 use 。主控模塊如圖 。 Clr 為計(jì)數(shù)模塊的控制信號,當(dāng)收貨完成后, clr 輸出為 1,計(jì)數(shù)模塊回到初始狀態(tài)歸零。 en 為控制出貨模塊的信號,當(dāng) en值為 1時(shí),確認(rèn)出貨模塊可以輸出商品。 Clk 為控制系統(tǒng)的時(shí)鐘信號。 當(dāng)輸入信號 di為 2 時(shí), g輸出為 1, f 輸出為 0, e 輸出為 1, d輸出為 1, c輸出為 0, b 輸出為 1, a 輸出為 1,仿真結(jié)果如圖 所示 圖 di 輸入為 2 時(shí)的仿真結(jié)果 32 主控模塊 主控模塊為自動售貨機(jī)控制系統(tǒng)的核心部分,在主控模塊中將輸入的錢幣數(shù)目( b)與商品的價(jià)格 (d)進(jìn)行對比,然后進(jìn)行找零并發(fā)出出貨信號。 end process。 f = outp(5)。 d = outp(3)。 b = outp(1)。 END CASE。 WHEN 9 = outp:=1111011。 WHEN 7 = outp:=1011111。 WHEN 5 = outp:=1011011。 WHEN 3 = outp:=1111001。 31 WHEN 1 = outp:=0110000。 variable outp:data_out。 END zhaoling。 f : OUT STD_LOGIC。 d : OUT STD_LOGIC。 b : OUT STD_LOGIC。 ENTITY zhaoling IS PORT( Di : IN integer range 0 to 9。 30 圖 顯示模塊 顯示模塊程序如下: LIBRARY ieee。找零顯示模塊的輸入端接收來自控制模塊的輸入信號,輸出端外接 LED 顯示燈,由于找零的數(shù)目為范圍為 0至 8,因此只需要一個(gè) LED 顯示燈,輸出信號為 a, b, c, d, e, f,g。 END a。 end if。039。039。039。039。139。039。039。039。139。039。139。039。039。139。039。039。139。039。139。039。039。039。139。139。039。039。039。039。039。 END chuhuo。 ENTITY chuhuo IS PORT (xuan_rg,xuan_hb,xuan_yl,xuan_schb,en3 : IN STD_LOGIC。 28 圖 出貨模 塊 出貨模塊主要程序如下: LIBRARY ieee。 出貨模塊有四個(gè)輸出信號為所選擇的貨物的輸出信號。 27 此段程序?yàn)槭挥?jì)數(shù)的情況,當(dāng) bcd 為 011( 30)時(shí)發(fā)生進(jìn)位,然后 bcd10n歸零。 end process。039。139。139。 end process。 end if。139。 and bcd1n=1001) then if (bcd10n=011) then bcd10n=000。) then if (en=39。event and clk=39。) then bcd10n=000。 process (clk,en,clr) begin if(clr=39。 end if。 end if。 else bcd1n=bcd1n+39。139。139。 elsif(clk39。139。 signal bcd10n: std_logic_vector(2 downto 0)。 end jishu。 entity jishu is port(clk,en,clr: in std_logic。 use 。計(jì)數(shù)模塊如圖 所示。 Clr 為控制器發(fā)送給計(jì)數(shù)模塊的一個(gè)信號,當(dāng) clr 為 1時(shí),計(jì)數(shù)器歸零。 通過程序所得到的仿真結(jié)果如下: 當(dāng) clk 第 15輸出為上升沿時(shí), newclk 輸出為 1,仿真結(jié)果如圖 所示: 圖 newclk 輸出為 1 時(shí)的仿真結(jié)果 25 計(jì)數(shù)模塊 由于課題要求自動售貨機(jī)控制系統(tǒng)有定時(shí)系統(tǒng),并限定在 30s 內(nèi)完成投幣,30s 之后投幣無效,因此需要設(shè)定一個(gè)計(jì)數(shù)模塊對投幣時(shí)間進(jìn)行限制。 NEWCLK = DIVCLK。 END IF。Q =0。 THEN IF Q 14 THEN Q =Q+1。EVENT AND CLK=39。 SIGNAL DIVCLK: STD_LOGIC。 END fenpi。 ENTITY fenpi IS PORT(CLK:IN STD_LOGIC。 圖 分頻模塊 24 分頻模塊主要程序如下: LIBRARY IEEE。 通過程序所得仿真結(jié)果如下: 1. 當(dāng) reset 輸入為 1, en2 輸入為 0, a8 輸入為 1時(shí), d輸出應(yīng)當(dāng)為 0,仿真結(jié)果如圖 所示: 圖 reset 輸入為 1, en2 輸入為 0, a8 輸入為 1 時(shí)的仿真結(jié)果 2 當(dāng) reset 輸入為 0, en2 輸入為 0, a8 輸入為 1 時(shí), d輸出為 0,仿真結(jié)果如圖 : 23 圖 reset 輸入為 0, en2 輸入為 0, a8 輸入為 1 時(shí)的仿真結(jié)果 3 當(dāng) reset 輸入為 0, en2輸入為 1,a8 輸入為 1時(shí), d 輸出為 8,xuan_schb輸出為 1,仿真結(jié)果如圖 所示: 圖 en2 輸出為 2 時(shí)的仿真結(jié)果 分頻模塊 分頻模塊是用來將外來的時(shí)鐘信號進(jìn)行分頻,有硬件系統(tǒng)已經(jīng)知道,選用的時(shí)鐘信號是由外部的 6MHZ 的晶振提供的,通過分頻模塊進(jìn)行分頻以后將得到周期為 1HZ的信號。 end process。039。039。039。039。 else d=0。039。039。039。039。 else d=0。xuan_hb=39。xuan_rg=39。xuan_yl=39。xuan_schb=39。139。139。039。039。139。 then 22 d=4。 and en2=39。 elsif a4=39。xuan_schb=39。xuan_hb=39。xuan_rg=39。xuan_yl=39。139。039。039。039。139。 then d=1。 and en2=39。 then if a1=39。 ARCHITECTURE a OF yima IS BEGIN process(
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1