freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的自動售貨機設(shè)計畢業(yè)論文(文件)

2025-07-31 21:04 上一頁面

下一頁面
 

【正文】 Clk 為控制系統(tǒng)的時鐘信號。 Clr 為計數(shù)模塊的控制信號,當(dāng)收貨完成后, clr 輸出為 1,計數(shù)模塊回到初始狀態(tài)歸零。 use 。 use 。 en1,en2, reset, clr,en3:out std_logic。 architecture a of zhukong is signal current_state:state:=qa。 and clk39。 then current_state=qa。 reset=39。clr=39。di=0。en2=39。c=0。 else current_state=qb。 此段程序為售貨機在初始狀態(tài)時的信號輸出,當(dāng) d輸入信號時進入對比程序。en2=39。c=0。 else current_state=qb。 end if。en1=39。139。139。 and bvar then en1=39。039。039。and bvar then current_state=qa。clr=39。en3=39。139。 c=bvar。139。 end if。 end a。不過缺點是只能完成相對比較簡單的自動售貨機功能,實現(xiàn)金額計算,發(fā)放商品,找零,退幣等功能。 2 仿真過程中找零所得錢幣數(shù)目不能達到預(yù)期效果,通過調(diào)整主控模塊程序已得到解決。 . Wang The Reconfigurable Embedded Nc System Based On Fpga . Key Engineering Materials ,20xx(1):703708. 13 Adec .VHDL Language Reference Guide. NV USA,1999 14 Mark D. Birnbaum .Essential electronic design automation (EDA). China Machine Press, 20xx. , 234 p. : 24 15 Rusdiansyah A, Tsao D B. An integratedmodel of the periodic delivery p roblems for vending machine supp ly chains [ J ]. Journal of Food Engineering, 20xx, 70 (3) : 421 434 40 致 謝 本課題在選題及研究過程中得到 劉艷萍 老師的親切關(guān)懷和悉心指導(dǎo)下完成的。 同時我要感謝肖祖印等同學(xué)對我做畢業(yè)設(shè)計期間的幫助,由于他們的熱情幫助促使我能在規(guī)定時間內(nèi)完成我所做的課題,對于同學(xué)們的幫助我深懷感激之情。從課題的選擇到項目的最終完成, 劉 老師都始終給予我細心的指導(dǎo)和不懈的支持。 . Hu。解決辦法是通過增加模塊,與輸入信號來解決,本 文不詳細闡述。 主控模塊的仿真結(jié)果如下: 1 當(dāng) start 輸入為 0, t 輸入為 0, b 輸入為 5, d輸入為 4 時, c輸出為 1,clr 輸出為 1, en 輸出為 1, en1 輸出為 1, reset 輸出為 1,仿真結(jié)果如圖 所示 圖 c 輸出為 1, clr 輸出為 1, en 輸出為 1, en1 輸出 為 1, reset 輸出為 1 時的仿真結(jié)果 2 當(dāng) start 輸 入為 1, t 輸入為 0, b 輸入為 5, d輸入為 4 時, reset 輸出為1, clr 輸出為 0, en1 輸出為 0, en輸出為 0, en2 輸出為 0.,仿真結(jié)果為圖 所示 35 圖 reset 輸出為 1, clr 輸出為 0, en1 輸出為 0, en 輸出為0, en2 輸出為 0 時的仿真結(jié)果 3 當(dāng) start 輸入為 0, t 輸入為 1, b輸入為 2, d輸入為 4 時( bd), c輸出為 2, en1 輸出為 0, en2 輸出為 0, clr 輸出為 1, en 輸出為 0,仿真結(jié)果如圖 所示 圖 c 輸出為 2,en1 輸出為 0,en2 輸出為 0,clr 輸出為 1,en 輸出為 0 時的仿真結(jié)果 4 當(dāng) start 輸入為 0, t 輸入為 1時, b 輸入為 5,當(dāng)輸入為 4 時( b=d), c輸出為 1, en 輸出為 0, en1 輸出為 0, clr 輸出為 1, en2 輸出為 0,仿真結(jié)果如圖 所示: 36 圖 c 輸出為 1, en 輸出為 0, en1 輸出為 0, clr 輸出為 1,en2 輸出為 0 時的仿真結(jié)果 頂層模塊 頂層模塊為自動售貨機軟件的總體部分,頂層模塊如圖 所示。 end if。139。139。en1=39。di=0。reset=39。039。 elsif t=39。039。 c=0 。 elsif t=39。139。c=bvar。039。 en2=39。039。clr=39。 en1=39。 en2=39。039。clr=39。 en1=39。en3=39。en2=39。 en1=39。 if start=39。 begin wait until clk=39。 di:out integer range 0 to 9)。 entity zhukong is port(start,clk,t:in std_logic。 end state_pack。主控模塊如圖 。 en 為控制出貨模塊的信號,當(dāng) en值為 1時,確認出貨模塊可以輸出商品。 當(dāng)輸入信號 di為 2 時, g輸出為 1, f 輸出為 0, e 輸出為 1, d輸出為 1, c輸出為 0, b 輸出為 1, a 輸出為 1,仿真結(jié)果如圖 所示 圖 di 輸入為 2 時的仿真結(jié)果 32 主控模塊 主控模塊為自動售貨機控制系統(tǒng)的核心部分,在主控模塊中將輸入的錢幣數(shù)目( b)與商品的價格 (d)進行對比,然后進行找零并發(fā)出出貨信號。 f = outp(5)。 b = outp(1)。 WHEN 9 = outp:=1111011。 WHEN 5 = outp:=1011011。 31 WHEN 1 = outp:=0110000。 END zhaoling。 d : OUT STD_LOGIC。 ENTITY zhaoling IS PORT( Di : IN integer range 0 to 9。找零顯示模塊的輸入端接收來自控制模塊的輸入信號,輸出端外接 LED 顯示燈,由于找零的數(shù)目為范圍為 0至 8,因此只需要一個 LED 顯示燈,輸出信號為 a, b, c, d, e, f,g。 end if。039。039。039。039。039。039。139。039。039。039。039。139。039。039。 END chuhuo。 28 圖 出貨模 塊 出貨模塊主要程序如下: LIBRARY ieee。 27 此段程序為十位計數(shù)的情況,當(dāng) bcd 為 011( 30)時發(fā)生進位,然后 bcd10n歸零。039。139。 end if。 and bcd1n=1001) then if (bcd10n=011) then bcd10n=000。event and clk=39。 process (clk,en,clr) begin if(clr=39。 end if。139。 elsif(clk39。 signal bcd10n: std_logic_vector(2 downto 0)。 entity jishu is port(clk,en,clr: in std_logic。計數(shù)模塊如圖 所示。 通過程序所得到的仿真結(jié)果如下: 當(dāng) clk 第 15輸出為上升沿時, newclk 輸出為 1,仿真結(jié)果如圖 所示: 圖 newclk 輸出為 1 時的仿真結(jié)果 25 計數(shù)模塊 由于課題要求自動售貨機控制系統(tǒng)有定時系統(tǒng),并限定在 30s 內(nèi)完成投幣,30s 之后投幣無效,因此需要設(shè)定一個計數(shù)模塊對投幣時間進行限制。 END IF。 THEN IF Q 14 THEN Q =Q+1。 SIGNAL DIVCLK: STD_LOGIC。 ENTITY fenpi IS PORT(CLK:IN STD_LOGIC。 通過程序所得仿真結(jié)果如下: 1. 當(dāng) reset 輸入為 1, en2 輸入為 0, a8 輸入為 1時, d輸出應(yīng)當(dāng)為 0,仿真結(jié)果如圖 所示: 圖 reset 輸入為 1, en2 輸入為 0, a8 輸入為 1 時的仿真結(jié)果 2 當(dāng) reset 輸入為 0, en2 輸入為 0, a8 輸入為 1 時, d輸出為 0,仿真結(jié)果如圖 : 23 圖 reset 輸入為 0, en2 輸入為 0, a8 輸入為 1 時的仿真結(jié)果 3 當(dāng) reset 輸入為 0, en2輸入為 1,a8 輸入為 1時, d 輸出為 8,xuan_schb輸出為 1,仿真結(jié)果如圖 所示: 圖 en2 輸出為 2 時的仿真結(jié)果 分頻模塊 分頻模塊是用來將外來的時鐘信號進行分頻,有硬件系統(tǒng)已經(jīng)知道,選用的時鐘信號是由外部的 6MHZ 的晶振提供的,通過分頻模塊進行分頻以后將得到周期為 1HZ的信號。039。039。 else d=0。039。039。xuan_hb=39。xuan_yl=39。139。039。139。 and en2=39。xuan_schb=39。xuan_rg=39。139。039。139。 and en2=39。 ARCHITECTURE a OF yima IS BEGIN process(a1,a3,a4,a8) begin if reset=39。 ENTITY yima IS PORT ( a1,a3,a4,a8,en2,reset : IN STD_LOGIC。當(dāng) en2 為 1 時,商品可以進行選擇,當(dāng) en2 為 0時,四個商品選擇鍵無效。仿真結(jié)果如圖 所示: 圖 reset 輸入為 1 時的仿真結(jié)果 商品選擇模塊 自動售貨機的商品選擇模塊,通過自動售貨機上的四個選擇按鍵完成商品選擇,在接收到對應(yīng)四個商品之一的一個選擇信號時進行編譯,將被選擇的商品進行編碼,輸入到主控模塊中,在主控模塊中與所接受的錢幣進行對與計算。 end process。 end if。 then qian:=qian+5。en=39。 elsif a2=39。 then qian:=qian+1。 when 1= if en1=39。en=39。139。 qian:=2。 elsif a2=39。bi=1。139。qian:=0。 if reset=39。 begin wait until clk=39。 en: out std_logic)。 圖 . 投幣模塊 投幣模塊主要程序如下: library ieee。 是 是 否 否 否 是 一元貨物 2 元貨 3 元貨 投幣 計算金額 金額 物價 繼續(xù)投幣 確認購買 出貨找零 退幣 結(jié)束 4 元貨 開始 18 圖 模塊示意圖 投幣模塊 自動售貨機能夠自動計算投入的錢幣數(shù)目,需要提供一個寄存器來記錄并保存投入的總幣值。 ( 3)找零狀態(tài):投幣結(jié)束 ,售貨機自動判別總錢數(shù),若在時限到來之際,總錢數(shù)少于顧客所需商品的單價,則退出錢幣,用 LED 顯示退錢數(shù)。當(dāng)顧客購買錯誤或不再購買時按下 start 重新進入初始狀態(tài)。當(dāng)顧客投入的硬幣大于或等于售價時將不再接受硬幣,用一個 LED 燈顯示。 (
點擊復(fù)制文檔內(nèi)容
研究報告相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1