freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga電子密碼鎖設(shè)計(jì)與實(shí)現(xiàn)(參考版)

2024-11-21 21:56本頁面
  

【正文】 end s4:begin if(L!=439。 H=439。 end end s3_wait:if (L==439。 H=439。B1111) state=s3_wait。B1011。B1111) begin state=s3。B1011。 else begin state=s3。 end 基于 FPGA電子密碼鎖設(shè)計(jì)與實(shí)現(xiàn) 27 s2:begin if(L!=439。 H=439。 end end s1_wait:if (L==439。 H=439。B1111) state=s1_wait。B1110。 always (posedge clk) case (state) idle:begin state=s1。 reg [3:0]state。d7, s4_wait=439。d5, s3_wait=439。d3, s2_wait=439。d1, s1_wait=439。 parameter idle=439。 output reg[3:0]data。 output reg[3:0]H。 input clk_sys。 else 基于 FPGA電子密碼鎖設(shè)計(jì)與實(shí)現(xiàn) 26 r=0。amp。 output reg r。 input en。 endmodule module clear(clk,en,data,r)。 else t1=t11。 always (posedge clk) if(r==1) t1=20200000*10。 else t=t1。 end always (posedge clk) if(r==0) t=20200000*10。 initial begin t=0。 output error。 input [3:0]r。 module r_solve(clk,r,ok,error)。 data==14) r=1。 always (posedge clk) if(en==1 amp。 input [3:0]data。 input clk。d5。b0111:a=b4。b1011:a=b3。b1101:a=b2。b1110:a=b1。d5。b0111:a=a4。b1011:a=a3。b1101:a=a2。b1110:a=a1。 end else t=t+1。 always (posedge clk) if(t20200000/10000) begin hex={hex[2:0],hex[3]}。b1110。 output reg [3:0]hex。 input set。 input clk。 end else t1=t1+1。 always (posedge clk) if(t1=20200000/500/2) begin t1=0。 reg [31:0]t1。 clk100= !clk100。 initial t=0。 output reg clk100,beep。 endcase end module clk_div(clk,clk100,beep)。 基于 FPGA電子密碼鎖設(shè)計(jì)與實(shí)現(xiàn) 23 default:oSEG = 739。h0: oSEG = 739。b1111111。 // b //439。h9: oSEG = 739。b0000000。 // lb rb 439。h7: oSEG = 739。b0000010。 // m 439。h5: oSEG = 739。b0011001。 // lt rt 439。h3: oSEG = 739。b0100100。 // t 439。h1: oSEG = 739。 reg [6:0] oSEG。 input [3:0] iDIG。 end else r=3。 end else begin r=0。 (a4==b4)) begin r=1。(a3==b3)amp。(a2==b2)amp。 t5) if ((a1==b1) amp。 end else if(key==1 amp。 (a4==0)) begin r=1。 (a3==2) amp。 (a2==0) amp。 (a1==2) amp。 end else if(key==1 amp。 end always (posedge clk) 基于 FPGA電子密碼鎖設(shè)計(jì)與實(shí)現(xiàn) 22 if (rst==0) begin r=3。 initial begin t=0。 input [3:0]a1,a2,a3,a4,b1,b2,b3,b4。 input key。 end end Endmodule module check(clk,key,rst,a1,a2,a3,a4,b1,b2,b3,b4,t,r)。 out3=out2。 data10) begin out1=data。 end else if(en amp。 out3=out4。 end always (posedge clk) if(!choose) begin if(rst) begin out1=out2。 out3=15。 initial begin out1=15。 input choose。 input [3:0]data。 input clk。 out4=out3。 out2=out1。amp。 out4=15。 out2=out3。 out4=4。 out2=0。 output reg[3:0]out1,out2,out3,out4。 input rst。 input en。 基于 FPGA電子密碼鎖設(shè)計(jì)與實(shí)現(xiàn) 19 參考文獻(xiàn) [1] 李連華 .基于 FPGA的電子密碼鎖設(shè)計(jì) .中國科技信息 ,2020,(01):64 [2] 許琦 .基于 FPGA的電子密碼鎖的設(shè)計(jì) .科技信息 ,2020,(10):240241 [3] 王衛(wèi)兵 ,劉克剛 ,朱秋萍 .用 FPGA的電子密碼鎖 .電子技 術(shù) ,2020,(01):2628 [4] 趙益丹 ,徐曉林 ,周振峰 .電子密碼鎖的系統(tǒng)原理、設(shè)計(jì)程序及流程圖 .嘉興學(xué)院學(xué)報(bào) ,2020,15(S1):103105 [5] 陸重陽 ,盧東華 .FPGA技術(shù)及其發(fā)展趨勢 .微電子技術(shù) ,2020,(01):57 [6] 王曉勇 .FPGA的基本原理及運(yùn)用 .艦船電子工程 ,2020,(02):8285 [7] 程耀林 .FPGA的系統(tǒng)設(shè)計(jì)方法解析 .現(xiàn)代電子技術(shù) ,2020,(19):9093 [8] K. Benkrid, A. Benkrid and S. Belkacemi .Efficient FPGA hardware development: A multilanguage approach .Journal of Systems Architectur e, 2020(04):184209 [9] Altera, Novas Team for FPGA Visibility Enhancement .Electronic News (North America).2020,(11):12 [10] 張忠 .自頂向下的 FPGA設(shè)計(jì) .電子產(chǎn)品世界 ,1998,(11):3334,72 [11] 路而紅 .專用集成電路設(shè)計(jì)與電子設(shè)計(jì)自動(dòng)化 .北京 :清華大學(xué)出版社 ,2020:162181,239280,329332 [12] 羅杰 ,康華光 .兩種硬件描述語言 VHDL/Verilog的發(fā)展及其應(yīng)用 .電氣電子教學(xué)學(xué)報(bào) , 2020,24(04):15 [13] 曹建國 , 王威 , 王丹 . 一種基于 VHDL的電子密碼鎖的設(shè)計(jì)與實(shí)現(xiàn) .沈陽大學(xué)學(xué)報(bào) , 2020,18(04): 7779 [14] 譚會(huì)生,瞿遂春 .EDA技術(shù)綜合應(yīng)用實(shí)例與分析 .西安 :西安電子科技大學(xué)出版社 ,6485 [15] 梁麗 .電子密碼鎖的計(jì)算機(jī)仿真設(shè)計(jì) .計(jì)算機(jī)仿真 ,2020,22(02):218220 基于 FPGA電子密碼鎖設(shè)計(jì)與實(shí)現(xiàn) 20 附錄 Verilog 程序 module mima(clk,en,data,rst,choose,out1,out2,out3,out4)。 在此,謹(jǐn)向 姚老師 表示崇高的敬 意。 姚老師每周的答疑解惑開拓 了 研究思路 解決了設(shè)計(jì)中的諸多問 題 , 姚 老師 兢兢業(yè)業(yè)勤勤懇懇 的 工作 態(tài)度, 指導(dǎo)時(shí)間雖短 , 卻對我以后畢業(yè)生活起到了重要影響 。 另外,本系統(tǒng)還加入了自動(dòng)報(bào)警功能,當(dāng)輸入錯(cuò)誤密碼時(shí),系統(tǒng)會(huì)當(dāng)作是盜竊者在試探密碼,同時(shí)發(fā)出報(bào)警信號,系統(tǒng)的報(bào)警信號分為閃爍燈和鈴聲兩部分,燈光為紅綠色交替閃爍、報(bào)警音為頻率 1KHz 的鈴聲, 1KHz 的聲音對人耳的刺激較大很容易引起附近人員的注意,這樣可以使得報(bào)警在最短時(shí)間內(nèi)被發(fā)現(xiàn)。同時(shí),在 FPGA 中還加入了鍵盤防抖的功能,減少了機(jī)械鍵盤抖動(dòng)帶來的輸入反方面的麻煩;在功能設(shè)計(jì)中,加入了密碼修改的功能,使得人們在使用時(shí)可以根據(jù)自己的習(xí)慣設(shè)置自己熟悉的密碼,而且密碼鎖中加入了自動(dòng)鎖死的功能,當(dāng)連續(xù) 3 次輸入的密碼錯(cuò)誤時(shí),密碼鎖會(huì)自動(dòng)鎖死,以防止盜竊者利用試探性的方法,竊取密碼。 本文介紹的密碼鎖系統(tǒng)最大的另一個(gè)優(yōu)勢在于貼近現(xiàn)實(shí)生活,具有使用價(jià)值,在設(shè)計(jì)過程中充分考慮了生活中會(huì)遇到的各種情況。在密碼鎖控制模塊、顯示模塊中, Verilog 的運(yùn)用使得許多硬件邏輯電路代碼化,大大降低了硬件制作的困難,通過可編程邏輯電路省去了許多硬件芯片,簡化系統(tǒng)結(jié)構(gòu),降低成本,提高了系統(tǒng)的保密性和可靠性。大大減少了硬件制作的成本、降低了硬件制作的工藝要求。 系統(tǒng)的 RTL 分析見圖 系統(tǒng) RTL分析圖 基于 FPGA電子密碼鎖設(shè)計(jì)與實(shí)現(xiàn) 17 4 總結(jié) 本文在系統(tǒng)設(shè)計(jì)方面具有兩大優(yōu)勢,一是利用了 FPGA 設(shè)計(jì),使得系統(tǒng)集成化、代碼化,且大大降低了硬件設(shè)計(jì)的要求和成本;二是系統(tǒng)設(shè)計(jì)充分考慮現(xiàn)實(shí)生活的需要,設(shè)計(jì)人性化、實(shí)用化。 RTL Viewer 給出了 設(shè)基于 FPGA電子密碼鎖設(shè)計(jì)與實(shí)現(xiàn) 16 計(jì)的邏輯門級 的 原理圖 與 層次結(jié)構(gòu) 的 列表, 總體的 設(shè)計(jì)網(wǎng)表的實(shí)例 和基礎(chǔ) 單元 以及 引腳和網(wǎng)絡(luò) 都被一一列出 。 控制部分的狀態(tài)跳轉(zhuǎn)如圖 所示: 基于 FPGA電子密碼鎖設(shè)計(jì)與實(shí)現(xiàn) 15 圖 狀態(tài)跳轉(zhuǎn)圖 上面的狀態(tài)跳轉(zhuǎn)圖的跳轉(zhuǎn)條件見圖 。 圖 狀態(tài)圖和 MDS圖之間的對應(yīng)關(guān)系 通過電子密碼鎖的 MDS 圖進(jìn)行這個(gè)控制器的 Verilog 語言程序設(shè)計(jì)。 控制器模塊的分析 這一節(jié)設(shè)計(jì)電子密碼鎖系統(tǒng)的核心部分 —— 控制器。在實(shí)
點(diǎn)擊復(fù)制文檔內(nèi)容
試題試卷相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1