【正文】
index0 : out std_logic_vector(7 downto 0))。 end ponent。ponent tonePort ( index : in std_logic_vector(7 downto 0)。 code : out std_logic_vector(6 downto 0)。 high : out std_logic。 tone0 : out integer range 0 to 8191)。end ponent。ponent speakerPort ( clk1 : in std_logic。 tone1 : in integer range 0 to 8191。 spks : out std_logic)。end ponent。signal tone2: integer range 0 to 8191。signal indx:std_logic_vector(7 downto 0)。begin u0:automusic port map(clk=clk32MHZ,index2=index1,index0=indx,Auto=handtoAuto)。 u1: tone port map(index=indx,tone0=tone2,code=code1,high=high1)。 u2: speaker port map(clk1=clk32MHZ,tone1=tone2,spks=spkout)。end Behavioral。 樂曲自動演奏模塊: library IEEE。use 。use 。use 。entity automusic isPort ( clk,Auto : in std_logic。 系統(tǒng)時鐘;鍵盤輸入/自動演奏 index2 : in std_logic_vector(7 downto 0)。 鍵盤輸入信號 index0 : out std_logic_vector(7 downto 0))。 音符信號輸出end automusic。architecture Behavioral of automusic is signal count0:integer range 0 to 31。change signal clk2:std_logic。beginpulse0:process(clk,Auto) 此進(jìn)程完成對系統(tǒng)時鐘8M的分頻,得到4Hz的信號clk2variable count:integer range 0 to 8191。begin if Auto=39。139。 then count:=0。clk2=39。039。 elsif clk39。event and clk=39。139。 then count:=count+1。 if count=4000000 then clk2=39。139。 elsif count=8000000 then clk2=39。039。count:=0。 end if。 end if。end process。music:process(clk2) 此進(jìn)程完成自動演奏部分曲的地址累加begin if clk239。event and clk2=39。139。 then if count0=31 then count0=0。 else count0=count0+1。 end if。 end if。end process。1:process(count0,Auto,index2) beginif Auto=39。039。 then case count0 is 此case語句:存儲自動演奏部分的樂曲 when 0 = index0=00000100。 3 when 1 = index0=00000100。 3 when 2 = index0=00000100。 3 when 3 = index0=00000100。 3 when 4 = index0=00010000。 5 when 5 = index0=00010000。 5 when 6 = index0=00010000。 5 when 7 = index0=00100000。 6 when 8 = index0=10000000。 8 when 9 = index0=10000000。 8 when 10 =index0=10000000。 8 when 11= index0=00000100。 3 when 12= index0=00000010。 2 when 13= index0=00000010。 2 when 14= index0=00000001。 1 when 15= index0=00000001。 1 when 16= index0=00010000。 5 when 17= index0=00010000。 5 when 18= index0=00001000。 4 when 19= index0=00001000。 4 when 20= index0=00001000。 4 when 21= index0=00000100。 3 when 22= index0=00000010。 2 when 23= index0=00000010。 2 when 24= index0=00010000。 5 when 25= index0=00010000。 5 when 26= index0=00001000。 4 when 27= index0=00001000。 4 when 28= index0=00000100。 3 when 29= index0=00000100。 3 when 30= index0=00000010。 2 when 31= index0=00000010。 2 when others = null。 end case。 else index0=index2。 鍵盤輸入音符信號輸出 end if。end process。end Behavioral。音調(diào)發(fā)生模塊:library IEEE。use 。use 。use 。entity tone isPort ( index : in std_logic_vector(7 downto 0)。 vv 音符輸入信號 code : out std_logic_vector(6 downto 0)。 音符顯示信號 high : out std_logic。 高低音顯示信號 tone0 : out integer range 0 to 8191)。 音符的分頻系數(shù)end tone。architecture Behavioral of tone isbeginsearch :process(index) 此進(jìn)程完成音符到音符的分頻系數(shù)譯碼,音符的顯示,高低音階begin case index is when 00000001 = tone0=7648。code=1001111。high=39。039。 when 00000010 = tone0=6920。code=0010010。high=39。039。 when 00000100 = tone0=6069。code=0000110。high=39。039。 when 00001000 = tone0=5730。code=1001100。high=39。039。 when 00010000 = tone0=5102。code=0100100。high=39。039。 when 00100000 = tone0=4545。code=0100000。high=39。039。 when 01000000 = tone0=4048。code=0001111。high=39。039。 when 10000000 = tone0=3820。code=0000000。high=39。139。 when others = tone0=8191。code=0000001。high=39。039。 end case。end process。end Behavioral。數(shù)控分頻模塊:library IEEE。use 。use 。use 。entity speaker isPort ( clk1 : in std_logic。 系統(tǒng)時鐘 tone1 : in integer range 0 to 8191。 音符分頻系數(shù) spks : out std_logic)。 驅(qū)動揚聲器的音頻信號end speaker。architecture Behavioral of speaker issignal preclk,fullspks:std_logic。beginpulse1:process(clk1) 此進(jìn)程對系統(tǒng)時鐘進(jìn)行4分頻variable count:integer range 0 to 8。begin if clk139。event and clk1=39。139。 then count:=count+1。 if count=2 then preclk=39。139。 elsif count=4 then preclk=39。039。count:=0。 end if。 end if。end process pulse1。genspks:process(preclk,tone1) 此進(jìn)程按照tone1輸入的分頻系數(shù)對8MHz的脈沖再次分頻,得到所需要的音符頻率variable count11:integer range 0 to 30624。begin if preclk39。event and preclk=39。139。 then if count11tone1 then count11:=count11+1。fullspks=39。139。 else count11:=0。fullspks=39。039。 end if。 end if。end process。delaysps:process(fullspks) 此進(jìn)程對fullspks進(jìn)行2分頻 variable count2:std_logic:=39。039。 begin if fullspks39。event and fullspks=39。139。 then count2:=not count2。 if count2=39。139。 then spks=39。139。 else spks=39。039。 end if。 end if。end process。end Behavioral。38本科生課程設(shè)計成績評定表姓 名性 別專業(yè)班級課程設(shè)計題目:課程設(shè)計答辯或質(zhì)疑記錄:成績評定依據(jù):最終評定成績(以優(yōu)、良、中、及格、不及格評定)指導(dǎo)教師簽字:_______________年 月 日