freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于vhdl語言的多功能數(shù)字鐘設(shè)計畢業(yè)論文-資料下載頁

2025-06-28 00:40本頁面
  

【正文】 2022.[11] Doulos.The VHDL Golden Reference Guide[M].A Handy Desktop Referee for VHDL Designers,1995: 210250.[12] J Bender W Gruhl D,Morlmoto N,et a1.Techniques for data hi.ding[J].IBM System Journal,1996(35):313336 . 33附錄 A:基于 CPLD 的多功能數(shù)字鐘電路圖Q19015Q29015Q39015Q49015Q59015Q69015 R4330R510KR610KR710KR810KR910KR1010KR171KR181KR191KR20RES2R21RES2R22RES2R23RES2R24510R2533KR281KR29RES2Q7850VCLED0LED1LED2LED3LED4LED5S1MODES2SETS3CHANGEVCVCVCMODESETCHANGEVCD9C1102C2102 VCVCVCTCKTDOTMSTDIT1TRANS1220V~Vin1GND2 Vout37805IC1C15104C13104+C11 2200UF+C1747UFTCK1END2TDO3VC4TMS5NC6NC7NC8TDI9GND10JTAG10絲絲絲JTAGD1LEDD2LEDD3LEDD4LEDI/OE2/GCLK22IN/GCLK183IN/OE184INPUT/GLCR1TCK62IO17IO28IO45IO57IO58IO60IO61IO63IO64IO65IO67IO68IO69IO70IO73IO74IO75IO76IO77IO79IO80IO81IO33IO34IO35IO36IO37IO39IO40IO41IO44IO6IO46IO48IO49IO50IO51IO52IO54IO55IO56IO8IO9IO10IO11IO12IO15IO16IO4IO18IO20IO21IO22IO24IO25IO27IO5IO29IO30IO31TDI14TDO71TMS23CPLDEPM7128SLC8415(84)LED0LED1LED2LED3LED4LED5TCKTDITDOTMS MODESETCHANGE基于CPLD的多功能數(shù)字鐘VacViVoVC1234絲絲ABCDEFGdb543210LED絲絲絲FUSE1絲絲絲BUZERGND2CLKOUT3NC1VC4絲絲絲絲 34附錄 B:基于 VHDL 語言的時、分、秒等電路的源碼( xsecond)LIBRARY IEEE。USE 。USE 。USE 。entity xsecend is port (clk:in std_logic。 clkset:in std_logic。 setmin:in std_logic。 reset:in std_logic。 secout:out std_logic_vector(6 downto 0)。 enmin:out std_logic )。end xsecend。architecture xsecend_arch of xsecend issignal sec:std_logic_vector(6 downto 0)。signal emin:std_logic。signal secl: std_logic。begin process (reset,sec,emin,setmin,clkset)beginif reset=39。039。 thenenmin=39。039。secout=0000000。secl=39。139。else secl=39。039。secout=sec。 35if clkset=39。139。and clkset39。event thenif setmin =39。039。then enmin =39。139。else enmin=emin。end if。end if。end if。end process。process(clk,secl)alias lcount: std_logic_vector(3 downto 0)is sec (3 downto 0)。alias hcount:std_logic_vector(2 downto 0)is sec (6 downto 4)。beginif secl=39。139。 then sec=0000000。elseif (clk=39。139。 and clk39。event) then if lcount =9 then lcount=0000。if hcount/=5 then hcount =hcount+1。emin=39。039。elsehcount=000。emin=39。139。end if。elselcount=lcount+1。emin=39。039。 36end if。end if。end if。end process。end xsecend_arch。(xminute)LIBRARY IEEE。USE 。USE 。USE 。entity xminute is port (clkmin:in std_logic。 clk:in std_logic。 sethour:in std_logic。 reset:in std_logic。 minout:out std_logic_vector(6 downto 0)。 enhour:out std_logic )。end xminute。architecture xminute_arch of xminute issignal min:std_logic_vector(6 downto 0)。signal ehour:std_logic。signal minl: std_logic。beginprocess (reset,clk,min,sethour,ehour)beginif reset=39。039。 thenenhour=39。039。minout=0000000。minl=39。039。 37elseminl=39。139。minout=min。if clk=39。139。and clk39。event thenif sethour =39。039。thenenhour =39。139。elseenhour=ehour。end if。end if。end if。end process。process(clkmin,minl)alias lcountm: std_logic_vector(3 downto 0)is min (3 downto 0)。alias hcountm:std_logic_vector(2 downto 0)is min (6 downto 4)。beginif minl=39。039。 thenmin=0000000。elseif (clkmin=39。139。 and clkmin39。event) thenif lcountm =9 thenlcountm=0000。if hcountm/=5 thenhcountm =hcountm+1。ehour=39。039。elsehcountm=000。ehour=39。139。end if。 38elselcountm=lcountm+1。ehour=39。039。end if。end if。end if。end process。end xminute_arch。( xhour)LIBRARY IEEE。USE 。USE 。USE 。entity xhour is port (clkhour:in std_logic。 reset:in std_logic。hourout:out std_logic_vector(5 downto 0))。end xhour。architecture xhour_arch of xhour issignal hour:std_logic_vector(5 downto 0)。beginprocess (reset,clkhour,hour)alias lcount: std_logic_vector(3 downto 0)is hour(3 downto 0)。alias hcount:std_logic_vector(1 downto 0)is hour(5 downto 4)。beginif reset=39。039。 thenhourout=000000。hour=000000。else 39if (clkhour=39。139。 and clkhour39。event) thenif lcount =9 thenlcount=0000。hcount=hcount+1。elseif hour=100011 thenhour=000000。elselcount=lcount+1。end if。end if。end if。hourout=hour。end if。end process。end xhour_arch。(xsettime)LIBRARY IEEE。USE 。USE 。USE 。entity xsettime is port (hour:in std_logic_vector(5 downto 0)。 min:in std_logic_vector(6 downto 0)。sec:in std_logic_vector(6 downto 0)。 reset : in std_logic。 clk:in std_logic。 sel:out std_logic_vector(2 downto 0)。 d_out:out std_logic_vector(3 downto 0))。 40end xsettime。architecture xsettime_arch of xsettime issignal sel1:std_logic_vector(2 downto 0)。begin process (reset,sec,min,hour,clk,sel1)beginif reset=39。039。 thensel =000。d_out=0000。sel1=000。else if(clk=39。139。and clk39。event) thenif sel15 then sel1=sel1+1。else sel1=000。end if。end if。sel=sel1。case sel1 is when 000=d_out( 3 )=39。039。d_out( 2 )=39。039。d_out( 2 )=hour( 5 )。d_out( 0 )=hour( 4)。when 001=d_out = hour(3 downto 0)。when 010=d_out( 3 )=39。039。 41d_out( 2 )=min( 6 )。d_out( 1 )=min( 5)。d_out( 0 )=min( 4)。when 011=d_out = min(3 downto 0)。when 100=d_out( 3 )=39。039。d_out( 2 )=sec( 6 )。d_out( 1 )=sec( 5)。d_out( 0 )=sec( 4)。when 101=d_out = sec(3 downto 0)。when others=null。end case。end if。end process。end xsettime_arch。( xalert)LIBRARY IEEE。USE 。USE 。USE 。entity xalert is port (clk:
點擊復(fù)制文檔內(nèi)容
法律信息相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1