freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

硬件課程設(shè)計(jì)-彩色led組跑馬燈的設(shè)計(jì)與仿真-資料下載頁

2025-08-24 10:29本頁面

【導(dǎo)讀】復(fù)位時(shí),8個(gè)燈全滅;從左到右第一個(gè)開始亮,依次亮到最后一個(gè);從兩邊亮到中間;2.隨著跑馬燈顯示圖案的變化,發(fā)出不同的音響聲。學(xué)生按要求編寫課程設(shè)計(jì)報(bào)告書,能正確闡述設(shè)計(jì)和實(shí)驗(yàn)結(jié)果。通過課程設(shè)計(jì)培養(yǎng)學(xué)生嚴(yán)謹(jǐn)?shù)目茖W(xué)態(tài)度和團(tuán)隊(duì)協(xié)作精神。應(yīng)文獻(xiàn)以及實(shí)現(xiàn),給出個(gè)人分析、設(shè)計(jì)以及實(shí)現(xiàn)。LED組跑馬燈具有多種工作模式,并對(duì)與不同工作模式,播放不同的音樂。號(hào)來設(shè)定工作模式,從而運(yùn)行特定的彩燈效果,采用自頂向下的模塊化設(shè)計(jì)。行分析和設(shè)計(jì),系統(tǒng)給出相應(yīng)的設(shè)計(jì)原理圖和VHDL源程序,通過仿真實(shí)現(xiàn)預(yù)定功能。

  

【正文】 e a:integer range 0 to 20。定義一個(gè)整型變量,取值范圍是 1 到 20 begin if rst=39。139。 then有復(fù)位信號(hào)時(shí) clk_4=39。039。復(fù)位信號(hào)控制部分 else if clk39。event and clk=39。139。 then產(chǎn)生一個(gè)上升沿 if a=3 then a:=0。 當(dāng) a 大于等于 3 時(shí),則 a 等于 0 clk_4=39。139。1/4 分頻輸出 1 else a:=a+1。 否則 a 等于 a 加 1 clk_4=39。039。1/4 分頻輸出 0 end if。end if。end if。end process p1。 p2:process(clk,rst) variable b:integer range 0 to 20。 定義一個(gè)整型變量,取值范圍是 1 到 20 begin if rst=39。139。 then有復(fù)位信號(hào)時(shí) clk_6=39。039。 復(fù)位信號(hào)控制部分 else if clk39。event and clk=39。139。then產(chǎn)生一個(gè)上升沿 if b=5 then當(dāng) b 大于等于 5 時(shí),則 b 等于 0 b:=0。clk_6=39。139。 1/6 分頻輸出 1 else b:=b+1。clk_6=39。039。 1/6 分頻輸出 0 end if。end if。end if。end process p2。 p3:process(clk,rst) variable c:integer range 0 to 20。 定義一個(gè)整型變量,取值范圍是 1 到 20 begin if rst=39。139。 then有復(fù)位信 號(hào)時(shí) clk_8=39。039。復(fù)位信號(hào)控制部分 else 章世浩 《 彩色 LED組跑馬燈的設(shè)計(jì)與仿真》 第 27 頁 共 29 頁 if clk39。event and clk=39。139。then產(chǎn)生一個(gè)上升沿 if c=7 then c:=0。clk_8=39。139。 1/8 分頻輸出 1 else c:=c+1。clk_8=39。039。end if。end if。end if。end process p3。關(guān)閉進(jìn)程 p4:process(clk,rst)開啟另外一個(gè)進(jìn)程 variable d:integer range 0 to 20。 定義一個(gè)整型變量,取 值范圍是 1 到 20 begin if rst=39。139。 then有復(fù)位信號(hào)時(shí) clk_10=39。039。 復(fù)位信號(hào)控制部分 else if clk39。event and clk=39。139。產(chǎn)生一個(gè)上升沿 then if d=9 then d:=0。clk_10=39。139。 1/10 分頻輸出 1 else d:=d+1。clk_10=39。039。end if。end if。end if。end process p4。end cd。 關(guān)閉進(jìn)程 附錄二 32 進(jìn) 制計(jì)數(shù)器模塊 VHDL 代碼 library ieee。 use 。 IEEE 庫使用聲明 entity counter_32 is實(shí)體端口聲明 port(clk,rst: in std_logic。 聲明 clk,rst 是標(biāo)準(zhǔn)邏輯位類型的輸入端口 count_out: out integer range 0 to 31)。聲明 count_out 是整型類型的輸出 end counter_32。 architecture a of counter_32 is begin process (rst,clk) variable temp:integer range 0 to 32。 定義 temp 為變量,并設(shè)置取值范圍 begin if rst=39。139。 then條件選擇語句,復(fù)位信號(hào)有效 temp:=0。給變量 temp 賦值為 0 elsif (clk39。event and clk=39。139。) then產(chǎn)生一個(gè)上升沿 temp:=temp+1。temp 自增 if(temp=32) then當(dāng) temp 為 32 時(shí),賦值為 0 temp:=0。end if。end if。 count_out=temp。將 temp 變量的值賦給信號(hào) count_out end process。end a。 附錄三 彩燈控制模塊 VHDL 代碼 LIBRARY ieee。 USE 。 IEEE 庫使用聲明 ENTITY caideng IS 實(shí)體端口聲明 PORT(input: IN INTEGER RANGE 0 TO 31。聲明 input 是整型輸入端口 章世浩 《 彩色 LED組跑馬燈的設(shè)計(jì)與仿真》 第 28 頁 共 29 頁 rst:in std_logic。 聲明 rst 是標(biāo)準(zhǔn)邏輯位類型的輸入端口 output : OUT std_logic_vector(7 downto 0)。 定義 output 是 標(biāo)準(zhǔn)邏輯矢量 sm :out std_logic_vector(6 downto 0) )。 定義 sm是 標(biāo)準(zhǔn)邏輯矢量 END caideng。 ARCHITECTURE a OF caideng IS 結(jié)構(gòu)體功能描述語句 BEGIN PROCESS (input,rst) BEGIN if rst=39。139。 then output=00000000。sm=0000000。 當(dāng) rst 復(fù)位時(shí),燈全滅 else case input is –對(duì) input 輸入信號(hào)的值進(jìn)行選擇,并對(duì)相應(yīng)的值輸出不同的邏輯 when 0=output=10000000。sm=0000110。只有第一個(gè)燈亮 when 1=output=01000000。sm=0000110。第一個(gè)燈亮后,熄滅,讓第二個(gè)燈亮 when 2=output=00100000。sm=0000110。前面兩個(gè)燈亮完熄滅后 ,第三個(gè)燈一直亮 when 3=output=00010000。sm=0000110。前面三個(gè)燈亮完熄滅后,第四個(gè)燈一直亮 when 4=output=00001000。sm=0000110。前面四個(gè)燈亮完熄滅后,第五個(gè)燈一直亮 when 5=output=00000100。sm=0000110。前面五個(gè)燈亮完熄滅后,第六個(gè)燈一直亮 when 6=output=00000010。sm=0000110。前面六個(gè)燈亮完熄滅后,第七個(gè)燈一直亮 when 7=output=00000001。sm=0000110。當(dāng) sm 為 110 時(shí),燈從左到右第一個(gè)開始亮 when 8=output=00010000。sm=0011011。當(dāng) sm 為 11011 時(shí),燈從中間亮到兩邊 when 9=output=00110000。sm=0011011。 when 10=output=00111000。sm=0011011。 when 11=output=01111000。sm=0011011。 when 12=output=01111100。sm=0011011。 when 13=output=01111110。sm=0011011。 when 14=output=11111110。sm=0011011。 when 15=output=11111111。sm=0011011。當(dāng) sm 為 11011 時(shí),燈從中間亮到兩邊 when 16=output=10000001。sm=1001111。當(dāng) sm 為 1001111 時(shí), 燈從兩邊亮到中間 when 17=output=11000001。sm=1001111。 when 18=output=11000011。sm=1001111。 當(dāng) sm 為 1001111 時(shí),燈從兩邊亮到中間 when 19=output=11100011。sm=0011011。 當(dāng) sm 為 11011 時(shí),燈從中間亮到兩邊 when 20=output=11100111。sm=1001111。當(dāng) sm 為 1001111 時(shí),燈從兩邊亮到中間 when 21=output=11110111。sm=1001111。 when 22=output=11111111。sm=1001111。 when 23=output=00001000。sm=1001111。當(dāng) sm 為 1001111 時(shí),燈從兩邊亮到中間 when 24=output=00000001。sm=0100110。當(dāng) sm 為 0100110 時(shí),燈從右邊亮到左邊 when 25=output=00000010。sm=0100110。 when 26=output=00000100。sm=0100110。 when 27=output=00001000。sm=0100110。 when 28=output=00010000。sm=0100110。 when 29=output=00100000。sm=0100110。 when 30=output=01000000。sm=0100110。當(dāng) sm 為 0100110 時(shí),燈從右邊亮到左邊 when 31=output=10000000。sm=0100110。 when others=null。 章世浩 《 彩色 LED組跑馬燈的設(shè)計(jì)與仿真》 第 29 頁 共 29 頁 end case。 end if。 end process。 end a。 附錄四 4 選 1 選擇器模塊 VHDL 代碼 Library ieee。 use 。 IEEE 庫使用聲明 entity mux41 is 實(shí)體端口聲明 port(rst:in std_logic。復(fù)位信號(hào) s:in std_logic_vector(1 downto 0)。選擇分頻信號(hào) a,b,c,d: in std_logic。分頻信號(hào)輸入 y: out std_logic)。end mux41。輸出 architecture a of mux41 is 結(jié)構(gòu)體功能描述語句 begin process (rst,s,a,b,c,d) begin if(rst=39。139。) then y=39。039。產(chǎn)生復(fù)位 else cases is case 選擇語句 when 00=y=a。 when 01=y=b。when 10=y=c。when 11=y=d。 when others=null。分頻選擇 end case。 end if。end process。end a。 附錄五 4 進(jìn)制計(jì)數(shù)器模塊 VHDL 代碼 library ieee。 use 。 IEEE 庫使用聲明 entity counter_4 is實(shí)體端口聲明 port(clk,rst: in std_logic。聲明 clk,rst 是標(biāo)準(zhǔn)邏輯位類型的輸入端口 count_out: out integer range 0 to 3)。聲明 count_out 是整型類型的輸出 end counter_4。 architecture a of counter_4 is begin process (rst,clk) variable temp:integer range 0 to 4。定義 temp 為變量,并設(shè)置取值范圍 begin if rst=39。139。 then 條件選擇語句,復(fù)位信號(hào)有效 temp:=0。給變量 temp 賦值為 0 elsif (clk39。event and clk=39。139。) then產(chǎn)生一個(gè)上升沿 temp:=temp+1。temp 自增 if(temp=4) then當(dāng) temp 為 4 時(shí),賦值為 0 temp:=0。end if。end if。count_out=temp。end process。end a。將 temp變量的值賦給信號(hào) count_out
點(diǎn)擊復(fù)制文檔內(nèi)容
高考資料相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1