freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

eda課程設(shè)計(jì)—出租車計(jì)費(fèi)器畢業(yè)論文(編輯修改稿)

2025-07-16 14:05 本頁(yè)面
 

【文章內(nèi)容簡(jiǎn)介】 elsif clk239。event and clk2=39。139。 then if c0=1001 then c0=0000。 if c1=1001 then c1=0000。 if c2=1001 then c2=0000。 if c3=1001 then c3=0000。 else c3=c3+1。 end if。 else c2=c2+1。 end if。 else c1=c1+1。 end if。 else c0=c0+1。 end if。 end if。end process。end rt3。計(jì)費(fèi)模塊波形仿真圖圖33計(jì)費(fèi)模塊波形仿真圖由圖33可知:輸入一個(gè)脈沖信號(hào),同時(shí)當(dāng)start為高時(shí)開始計(jì)價(jià);c0、ccc3為價(jià)格的顯示信號(hào),逢9后一位將進(jìn)1。 :library ieee。use 。use 。use 。entity kongzhi isport(ent0,ent1:in std_logic。 clk_in1:in std_logic。 clk_in2:in std_logic。 clk_out:out std_logic)。 end kongzhi。architecture rt4 of kongzhi isbeginprocess(ent0,ent1)begin if ent0=39。139。 then clk_out=clk_in1。elsif ent1=39。139。 then clk_out=clk_in2。 end if。 end process。end rt4。控制模塊波形仿真圖圖34控制模塊波形仿真圖由圖34可知:本模塊主要是通過兩個(gè)不同的輸入使能信號(hào),對(duì)兩個(gè)輸入脈沖進(jìn)行選擇輸出,使能信號(hào)是計(jì)量模塊中的輸出,兩個(gè)輸入脈沖是分頻模塊輸出的16HZ、15HZ的脈沖。:library ieee。use 。use 。entity yima isport( clk :in std_logic。 m1,m0,k1,k0,c3,c2,c1,c0 : in std_logic_vector(3 downto 0)。 sg:out std_logic_vector(7 downto 0)。 bt: out std_logic_vector(7 downto 0))。end 。architecture behav of yima is signal t8:std_logic_vector( 2 downto 0)。 signal a :std_logic_vector(3 downto 0)。 signal b :std_logic_vector(7 downto 0)。 signal c :std_logic_vector(7 downto 0)。 begin process(clk) begin if clk39。event and clk=39。139。then t8=t8+1。 end if。 end process。 process(t8) begin case t8 is when 000 =a=k0。c=00000001。b(7)=39。039。 when 001 =a=k1。c=00000010。b(7)=39。039。 when 010 =a=m0。c=00000100。b(7)=39。039。 when 011 =a=m1。c=00001000。b(7)=39。039。 when 100 =a=c0。c=00010000。b(7)=39。039。 when 101 =a=c1。c=00100000。b(7)=39。139。 when 110 =a=c2。c=01000000。b(7)=39。039。 when 111 =a=c3。c=10000000。b(7)=39。039。 when others= null。 end case。 end process。 process(a) begin case a is when 0000=b(6 downto 0)=0111111。 when 0001=b(6 downto 0)=0000110。 when 0010=b(6 downto 0)=1011011。 when 0011=b(6 downto 0)=1001111。 when 0100=b(6 downto 0)=1100110。 when 0101=b(6 downto 0)=1101101。 when 0110=b(6 downto 0)=1111101。 when 0111=b(6 downto 0)=0000111。 when 1000=b(6 downto 0)=1111111。 when 1001=b(6 downto
點(diǎn)擊復(fù)制文檔內(nèi)容
環(huán)評(píng)公示相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1