freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

畢業(yè)論文-無葉風扇控制器設計(編輯修改稿)

2025-02-12 23:18 本頁面
 

【文章內(nèi)容簡介】 314156784321 2 3 456782 132 132 1312 3421 圖 23 核心板 圖 24 顯示部分 圖 21 由以上系統(tǒng)基本原理框架圖可以看出,本系統(tǒng)的外圍電路相對比較復雜 ,功能的實現(xiàn)主要是從外部獲取控制信號之后在 FPGA 中進行數(shù)據(jù)處理,數(shù)據(jù)處理完畢之后 FPGA便將控制信號輸出到報警電路進行報警,將顯示數(shù)據(jù)輸出到顯示電路進行顯示。所以本系統(tǒng)的 FPGA 數(shù)據(jù)處理方面的程序相對比較復雜一些,所有的感應信號和顯示數(shù)據(jù)的處理和輸入控制都 是在 FPGA 中進行處理,這就要求在設計程序的時候要認真思考 FPGA 管腳的分配問題。 8 三 、 系統(tǒng)電路模塊 FPGA 核心板 型號: DCP100LX9 名稱: FPGA 核心板 說明:此模塊的 FPGA 芯片采用 XILINX 公司的 XC6SLX9,配置方式 JTAG 全部引出,芯片 時鐘為 100MHz。外圍器件有: FLASH 芯片 W25Q128BV、 EEPROM 芯片 M93C6串口通訊芯片 MAX323 USB 轉串口芯片 PL2303。線路板上以扁平帶方式引出 72 路 IO 口分 9 組,分別為 JAJG ,方便與外圍電路數(shù)據(jù)地址線連接 ,如圖 圖 31所示 。 圖 31 DCP100LX9 FPGA核心板 9 壓力檢測電 路 型號: CGQ002A 名稱:壓力檢測電路 說明:傳感器采用摩托羅拉公司的高性能擴散硅壓力傳感器 MPX53DP 并通過專門的保護 支座安裝在線路板上。處理電路采用由運放構成的兩級放大電路實現(xiàn)對信號的放大、調理。 該模塊可用 DC12V 供電,輸出為模擬量信號。 圖示 圖 32 所示 : 圖 32 CGQ002A 壓力檢測電路 數(shù)碼管顯示的工理 八段 LED 顯示器由 8 個發(fā)光二極管組成?;?7 個長條形的發(fā)光管排列成“日”字形,另一個點形的發(fā)光管在顯示器的右下角作為顯示小數(shù)點用,它能顯示各種數(shù)字及部份英文字母。 LED 顯示器有兩種不同的形式:一種是 8 個發(fā)光二極管的陽極都連在一起的,稱之為共陽極 LED 顯示器;另一種是 8 個發(fā)光二極管的陰極都連在一起的,稱之為共陰極 LED顯示器。如圖 所示 : 圖 33 數(shù)碼管顯示器 10 在選用共陰的 LED 時,只要在某一個發(fā)光二極管加上高電平,該二極管即點亮,反之則暗。而選用共陽極的 LED 時,要使某一個發(fā)光二極管發(fā)亮,則需加上低電平,反之則暗。為了保護各段 LED 不受損壞,需要外加限流電阻。為了要顯示某個字型,則應使此字形段的相應點二極管點亮,也即送一個不同的電平組合代表數(shù)據(jù)來控制 LED顯示的字型,此數(shù)據(jù)稱為字符的段碼。本電路采用共陰極接法。十六進制數(shù)字型代碼表如表 所示 : 單片機驅動 LED 顯 示有很多方法 ,按顯示方式可以分為靜態(tài)顯示和動態(tài)顯示。 靜態(tài)顯示就是顯示驅動電路具有輸出鎖存功能,單片機將要顯示的數(shù)據(jù)送出后就不再控制LED,直到下一次顯示時再傳送一次新的數(shù)據(jù)。只要當前顯示的數(shù)據(jù)沒有變化,就無須理睬數(shù)碼顯示管。靜態(tài)顯示的數(shù)據(jù)穩(wěn)定,占用的 CPU 時間少。靜態(tài)顯示中,每一個顯示器都要占用單獨具有 鎖 存功能的 型代碼。這樣單片機只要把顯 表 十六進制數(shù)字型代碼表 示的字型數(shù)據(jù)代碼發(fā)送到接口電路,該字段就可以顯示要發(fā)送的字型。 當需要 要顯示新的數(shù)據(jù)時,單片機再發(fā)送新的字型碼。 共陰極接法 七段代碼 D7 D6 D5 D4 D3 D2 D1 D0 Dp g f e d c b a 0 0 0 1 1 1 1 1 1 3FH 1 0 0 0 0 0 1 1 0 06H 2 0 1 0 1 1 0 1 1 5BH 3 0 1 0 0 1 1 1 1 4FH 4 0 1 1 0 0 1 1 0 66H 5 0 1 1 0 1 1 0 1 6DH 6 0 1 1 1 1 1 0 1 7DH 7 0 0 0 0 0 1 1 1 07H 8 0 1 1 1 1 1 1 1 7FH 9 0 1 1 0 1 1 1 1 6FH A 0 1 1 1 0 1 1 1 77H B 0 1 1 1 1 1 0 0 7CH C 0 0 1 1 1 0 0 1 39H D 0 1 0 1 1 1 1 0 5EH E 0 1 1 1 1 0 0 1 79H F 0 1 1 1 0 0 0 1 71H P 0 1 1 1 0 0 1 1 73H 11 另一種 方法是動態(tài)掃描顯示。動態(tài)掃描方法是用其接口電路把所有顯示器的 8個筆畫字段 (a~g 和 dp)同名端連在一起,而每一個顯示器的公共極 COM 各自獨立接受I/O 線控制。 CPU 向字段輸出端口輸出字型碼時,所有顯示器接受相同的字型碼,但究竟使 哪 一位則由 I/O 線決定。動態(tài)掃描用分時的方法輪流控制每個顯示器的 COM端,使每個顯示器輪流電亮。在輪流點亮過程中,每位顯示器的點亮時間極為短暫,但由于人的視覺暫留現(xiàn)象及發(fā)光二極管的余輝效應,給人的印象就是一組穩(wěn)定的顯示數(shù)據(jù)。 DCP102A 數(shù)碼管顯示和鍵盤電路 型號: DCP102A 名稱:數(shù)碼管顯示和鍵盤電路 說明:該模塊包括八位數(shù)碼管顯示單元、查詢式鍵盤、矩陣式鍵盤所組成。該線路板配 合 FPGA 核心板可以完成數(shù)碼管的靜態(tài)和動態(tài)掃描實驗,完成查詢式和矩陣式鍵盤實驗。 圖 34 數(shù)碼管顯示和鍵盤電路 12 本設計中使用動態(tài)掃描的方式對數(shù)碼管進行控制掃描,其電路圖如圖 所示,圖中 SRD2 為上拉電阻排,電阻值為 10K,驅動芯片用 74HC573 作為驅動使用, U2 為位選驅動, U3 為段碼驅動,單片機的 P0 口接 LED 的段碼驅動,單片機的 P2 口接 LED的位選碼驅動,該器件驅動電 流可以達到 100mA,而 LED 數(shù)碼管全亮時,最大電流可以達到 32mA,如果全部驅動輸出會將數(shù)碼管燒壞,所以在程序中使用 PWM 輸出的控制,在消隱時,也就是禁止數(shù)碼管的輸出,之后加上一段時間的延時,要確保加入的時間與顯示時間和不能超過 20ms,同時 74HC573 具有鎖存功能,在本設計中沒有使用該功能。 13 四 、軟件部分設計 主程序完成 控制、 執(zhí)行,流程圖如圖 圖 所示。 圖 主程序流程圖 14 五 、結 論 我們在設計調試過程中也遇到了一些問題,但 我們牢記指導老師在平時訓練中教給我們的“定位準確、機理清楚、故障復現(xiàn)、措施有效、舉一反三”的技術歸零方針,并嚴格按照技術歸零方針來查找和解決問題。最后,通過團隊的共同努力,完成了無葉風扇控制器的設計及制作。 在這次畢設中,我們不但將學校所學的《模擬電子技術》、《數(shù)字電子技術》、《電子產(chǎn)品組裝工藝》、《 PCB 設計》等專業(yè)知識應用到比賽中,實現(xiàn)了學以致用,而且專業(yè)知識和技能得到了大幅度的提升,同時鍛煉和提高了獨立 分析問題、解決問題的能 力、 溝通能力及團隊協(xié)作 能力。 從整套系統(tǒng)的設計,調試和實現(xiàn)的過程中,我學到了許 多很有意義的東西: 首先:使用的開發(fā)語言有 VHDL 語言,使我的編程能力得到了很大的鍛煉和提高。 其次:設計和實現(xiàn) 各種功能 ,可以說是對軟件工程中的軟件開發(fā)方法一次又一次的實踐。每次的成功和失敗,都給我深刻的啟示,指導我怎樣更好的進行軟件開發(fā),應該避免哪些問題。 再次:調試的時候,充分體驗了一次工程現(xiàn)場調試的氛圍,與各位實驗室同學一起的場景至今仍然歷歷在目。再這里,我要再次的感謝我的指導老師張美英。 畢業(yè)論文從 十 月份開始入手,到現(xiàn)在已經(jīng)三個月了。這份大學期間最后的作業(yè),是對我的又一次挑戰(zhàn)。雖然在旁人看來這工作 可能微不足道,但我卻從中學到了不少東西;體會到了工作中的酸甜苦辣,也認識到了自己的不足之處。這對我今后的工作和學習都是大有裨益的。遺憾的是,限于時間和能力,沒能將工作做得更好。 最后,再次感謝所有關心和幫助過我的老師和朋友們。 15 六 、致 謝 首先,也是最主要感謝的是我的指導老師, 張智彬 和 徐書雨 兩位 老師。在整個過程中他 給了我很大的幫助,在論文題目制定時,他首先肯定了我的題目大方向 ,讓我在寫作時有了具體方向。在論文提綱制定時,我的思路不是很清晰,經(jīng)過老師的幫忙,讓我具體寫作時思路頓時清晰。在完成初 稿后,老師認真查看了我的文章,指出了我存在的很多問題。在此十分感謝 張 老師的細心指導,才能讓我順利完成 畢業(yè) 論文。 最后要感謝在整個論文寫作過程中幫助過我的每一位人。 16 七 、參考文獻 [1] 華兵 .MCS51 單片機原理應用 .武漢:武漢華中科技大學出版社, 2022 .5 [2] 李華 .MCU51 系列單片機實用接口技術 .北京:北京航空航天大學出版社, 1993. 6 [3] 陳光東 .單片機微型計算機原理與接口技術 (第二版 ).武漢:華中理工大學出版社, [4] 徐 淑華,程退安,姚萬生 .單片機微型機原理及應用 .哈爾濱:哈爾濱工業(yè)大學出版社, 1999. 6. [5] 九州 .放大電路實用設計手冊 .沈陽:遼寧科學技術出版社, [6] 樊昌元,丁義元 . 高精度雷達研究 .電子測量與儀器學報, [7] 蘇偉,鞏壁建 .誤差分析 .傳感器技術, 2022. [8] 永學等 .1Wire 總線數(shù)字溫度傳感器 DS18B20 及應用 .電子產(chǎn)品世界, 17 附錄 1. VHDL 程序源碼: 注:每部分函數(shù)應單獨建立文件 ,有頂層 文件電泳子函數(shù) 頂層函數(shù) library IEEE。 use 。 USE 。 USE 。 entity wendu is port( CLK : in std_logic。 100MHZ, 系統(tǒng)時鐘 RESET : in std_logic。 ren : in std_logic。 人體紅外控制 pwm_out :out std_logic。 電機控制信號 SDA : inout std_logic。 串行總線雙向數(shù)據(jù) SCL : out std_logic。 串行總線時鐘 switch : out std_logic。 小數(shù)點控制 keyin : in std_logic_vector(3 downto 0 )。 data_in_ce : in std_logic_vector(11 downto 0)。 DOT : out std_logic。 小數(shù)點控制 LED7 : out std_logic_vector(6 downto 0)。 斷碼(不包含小數(shù)點) BIT8 : out std_logic_vector(0 to 7) 位碼 )。 end wendu。 architecture Behavioral of wendu is 氣壓 信號 采集 ponent ack_check port( CLK : in std_logic。 RESET : in std_logic。 SDA : inout std_logic。 串行總線雙向數(shù)據(jù) SCL : out std_logic。 串行總線時鐘 data_shi : out std_logic_vector(11 downto 0) )。 18 end ponent。 顯示 控制 ponent display port( CLK : in std_logic。 100MHZ, 系統(tǒng)時鐘 RESET : in std_logic。 ren : in std_logic。
點擊復制文檔內(nèi)容
法律信息相關推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號-1