freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

畢業(yè)設(shè)計(jì)-基于vhdl的hdb3編譯碼器的設(shè)計(jì)(完整版)

2025-01-19 15:11上一頁面

下一頁面
  

【正文】 性變換功能的流程圖。為了使程序更清晰,用了幾個(gè)元件例化語句(pomemt instantiation),來說明信號(hào)的流向。Codeoutv=39。Codeoutv=39。Codeoutb=codeoutvCodeoutb=codeoutvCodeoutb=codeoutvCodeoutb=39。39。39。39。在其他條件下,讓原代碼照常輸出。也可以人為地加入一個(gè)標(biāo)識(shí)符(其最終目的也是選擇輸出“1”的極性)。這樣做需要大量的寄存器,同時(shí)電路結(jié)構(gòu)也變的復(fù)雜。第2章 HDB3碼 編/譯碼原理 HDB3碼編碼原理HDB3碼的編碼規(guī)則:(1) 將消息代碼變換成AMI碼;(2) 檢查AMI碼中的連0情況,當(dāng)無4個(gè)以上的連0傳時(shí),則保持AMI的形式不變;若出現(xiàn)4個(gè)或4個(gè)以上連0時(shí),則將1后的第4個(gè)0變?yōu)榕c前一非0符號(hào)(+1或1)同極性的符號(hào),用V表示(+1記為+V,1記為V(3)檢查相鄰V符號(hào)間的非0符號(hào)的個(gè)數(shù)是否為偶數(shù),若為偶數(shù),則再將當(dāng)前的V符號(hào)的前一非0符號(hào)后的第1個(gè)0變?yōu)?B或B符號(hào),且B的極性與前一非0符號(hào)的極性相反,并使后面的非0符號(hào)從V符號(hào)開始再交替變化。HDB3碼 這種碼型在數(shù)字通信中用得很多,HDB3碼是AMI碼的改進(jìn)型,稱為三階高密度雙極性碼。作為傳輸用的基帶信號(hào)歸納起來有如下要求:1 希望將原始信息符號(hào)編制成適合與傳輸用的碼型;2 對所選碼型的電波形,希望它適宜在信道中傳輸。以上要求導(dǎo)致了HDB3碼的出現(xiàn)并獲廣泛應(yīng)用。前言現(xiàn)代通信借助于電和光來傳輸信息,數(shù)字終端產(chǎn)生的數(shù)字信息是以“1”和“0”2種代碼(狀態(tài))位代表的隨機(jī)序列,他可以用不同形式的電信號(hào)表示,從而構(gòu)造不同形式的數(shù)字信號(hào)。HDB3碼因具有無直流成分,低頻成分少和連0個(gè)數(shù)最多不超過三個(gè)等明顯的優(yōu)點(diǎn),對定時(shí)信號(hào)的恢復(fù)十分有利, CCITT已建議把HDB3碼作為PCM終端設(shè)備一次群到三次群的接口碼型。可進(jìn)行基帶傳輸?shù)拇a型較多。它克服了AMI碼的長連0傳現(xiàn)象。舉例如下:代碼 : 1 0 1 0 1 1 0 0 0 0 0 1 1 0 0 0 0 1 HDB3碼: +1 0 1 0 +1 1 0 0 0 1 0 +1 1 +1 0 0 +1 1V、B : V +B +VHDB3碼的特點(diǎn)如下:(1) 基帶信號(hào)無直流成分,且只有很小的低頻成分;(2) 連0串符號(hào)最多只有3個(gè),利于定時(shí)信息的提?。唬?) 不受信源統(tǒng)計(jì)特性的影響。若把信號(hào)處理的順序變換一下:首先完成插“V”工作,接著執(zhí)行補(bǔ)“B”功能??刂埔粋€(gè)選擇開關(guān),使輸出“1”的極性能按照編碼規(guī)則進(jìn)行變化。插39。v39。+v39。V39。39。39。39。所謂元件例化就是引入一種連接關(guān)系,將預(yù)先設(shè)計(jì)好的設(shè)計(jì)實(shí)體定義為一個(gè)元件,然后利用特定的語句將此元件與當(dāng)前的設(shè)計(jì)實(shí)體中的指定端口連接,從而為當(dāng)前設(shè)計(jì)實(shí)體引入一個(gè)新的低一級的設(shè)計(jì)層次。根據(jù)編碼規(guī)則,“B”符號(hào)的極性與前一非零符號(hào)相反,“V”極性符號(hào)與前一非零符號(hào)一致。在編碼前,首先通過編程產(chǎn)生一個(gè)序列發(fā)生器,通過它產(chǎn)生一段序列碼,由于過程中會(huì)產(chǎn)生毛刺,因此在設(shè)計(jì)中加入了一個(gè)D觸發(fā)器以消除毛刺現(xiàn)象,隨后將產(chǎn)生的序列通過編碼器,由于編碼設(shè)計(jì)的結(jié)果是不歸零的,所以本次設(shè)計(jì)在編碼后的基礎(chǔ)上加上了一個(gè)歸零模塊,使得出來的結(jié)果有一個(gè)歸零的效果,圖35為編碼的頂層文件圖:圖35 編碼頂層文件圖 HDB3編碼器的時(shí)序仿真對編碼頂層文件進(jìn)行時(shí)序仿真,得到仿真圖如圖36所示。因此可從所接受的信碼中找到V碼,然后根據(jù)加取代節(jié)的原則,V碼與前面的三位碼必然是取代碼,需要全部復(fù)原為四連0。當(dāng)連續(xù)出現(xiàn)兩個(gè)“+1”或“1”時(shí),若無誤碼,則后一個(gè)一定是V脈沖。+V碼檢測模型框如圖42所示。不管是否有B脈沖,在此模塊中,一并清零,因而無需另設(shè)扣B電路。 HDB3編/譯碼器的硬件電路結(jié)構(gòu)以及硬件調(diào)試整體仿真完后,可以對頂層文件進(jìn)行分配管腳,當(dāng)然首先要選擇好芯片,本次設(shè)計(jì)采用的芯片是ACEX1KEP1K10TC1003,分配管腳要注意只能用其中標(biāo)注的I/O口,管腳分配好后,用串口線將電腦與FPGA實(shí)驗(yàn)箱連接起來,開電源,點(diǎn)擊TOOLS\Programmer進(jìn)入下載頁面,如果是第一次使用的話,點(diǎn)擊Hardware setup,然后在Hardware setting選項(xiàng)中點(diǎn)擊Addhardware,hardware type選擇‘byteblastermv orbyteblasterII’,‘port’選擇‘LPT1’,點(diǎn)擊OK完成硬件設(shè)置。從結(jié)果可以看出,波形中存在許多毛刺,這也是本次設(shè)計(jì)中的不足之處,但總體來說,結(jié)果還是比較滿意的! 單/雙極性變換硬件電路在編碼器的設(shè)計(jì)中,編碼的輸出是兩個(gè)單極性的輸出,如果下載到FPGA 實(shí)驗(yàn)箱上,最后的編碼輸出沒有辦法表示,同理譯碼器的設(shè)計(jì)也是一樣,因此本次設(shè)計(jì)采用了一個(gè)極性變換電路來解決這個(gè)問題。(2) 雖然實(shí)現(xiàn)的設(shè)計(jì)要求的基本功能,但是所用方法較復(fù)雜,事實(shí)上還可能找到其他的建模方法。[2] 段吉海、黃智偉等. 基于CPLD/FPGA的數(shù)字通信系統(tǒng)建模與設(shè)計(jì)[M]. 北京:電子工業(yè)出版社,2004。[10],高等教育出版社。end entity 。 then if en=39。process(count11) begin case count11 is when 0=dout=39。 when 4=dout=39。 when 8=dout=39。 when 12=dout=39。 when 16=dout=39。 when 20=dout=39。 when others=dout=39。ENTITY D ISPORT(D,CLK: IN std_logic。END PROCESS。end xhdb3。signal s0:std_logic_vector(4 downto 0):=00000。ponent dffport(d :in std_logic。thenif clr=39。flag0=1。elsecodeoutv=111。flag1=0。when others=codeoutv=000。s2(0)=codeoutv(2)。ds13:dff port map(s1(2),clk,s1(3))。s1(4)=s1(3)。s1(4)=39。end if。039。s0(4)=s0(3)。output:process(clkout)beginIF clkout39。end process output。end GuiLing。 thencode_temp=10。 thenif start=39。end if。 低位 clock :in std_logic。039。reg1=00000。 reg1(1)。 reg1(1)。 process(clock) begin if rising_edge(clock) then if reg0(0)=39。 end if。 end process。 or reg1(0)=39。 reg0(4 downto 1)。139。039。reg1=00000。end yima。end behave。 then qq=0。end if。signal qq:integer range 3 downto 0。歸零模塊library ieee。139。codeoutb=s2(4)amp。139。when 011=if flag3=0 thens2(4)=s2(3)。s0(4)=39。when 010=flag3=0。bclk:clkb=not clk。ds11:dff port map(s1(0),clk,s1(1))。end case。end if。end if。flag0=0。thencodeoutv=000。q :out std_logic)。signal s1:std_logic_vector(4 downto 0):=00000。signal flag0:integer range 1 downto 0:=0。編碼器library ieee。END D。 end case。 when 21=dout=39。 when 17=dout=39。 when 13=dout=39。 when 9=dout=39。 when 5=dout=39。 when 1=dout=39。 then
點(diǎn)擊復(fù)制文檔內(nèi)容
公司管理相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1