freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

基于fpga的等精度頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn)畢業(yè)論文-預(yù)覽頁(yè)

 

【正文】 的綜合與布局,快速的燒錄至 FPGA 上進(jìn)行測(cè)試,是現(xiàn)代 IC 設(shè)計(jì)驗(yàn)證的技術(shù)主流。一個(gè)出廠后的成品 FPGA 的邏輯塊和連接可以按照設(shè)計(jì)者而改變,所以 FPGA 可以完成所需要的邏輯功能。因?yàn)檫@些芯片有比較差的可編輯能力,所以這些設(shè)計(jì)的開(kāi)發(fā)是在普通的 FPGA上完成的,然后將設(shè)計(jì)轉(zhuǎn)移到一個(gè)類(lèi)似于 ASIC 的芯片上。 CPLD 邏輯門(mén)的密度在幾千到幾萬(wàn)個(gè)邏輯單元之間,而 FPGA 通常是在幾萬(wàn)到幾百萬(wàn)。這樣的結(jié)果是缺乏編輯靈活性,但是卻有可以預(yù)計(jì)的延遲時(shí)間和邏輯單元對(duì)連接單元高比率的優(yōu)點(diǎn)。允許他們的設(shè)計(jì)隨著系統(tǒng)升級(jí)或者動(dòng)態(tài)重新配置而改變。 2) FPGA 可做其它全定制或半定制 ASIC 電路的中試樣片。 可以說(shuō), FPGA 芯片 是小批量系統(tǒng)提高系統(tǒng)集成度、可靠性的最佳選擇之一 。掉電后, FPGA 恢復(fù)成白片,內(nèi)部邏輯關(guān)系消失,因此, FPGA 能夠反復(fù)使用 。因此, FPGA 的使用非常靈活。 邏輯綜合器主要指 LeonardoSpectrum、 Synplify、 FPGA Express/FPGA Compiler 等。 (c)前仿真 說(shuō)明: 一般來(lái)說(shuō),對(duì) FPGA 設(shè)計(jì)這一步可以跳過(guò)不做,但可用于 debug 綜合有無(wú)問(wèn)題。 Max+plusⅡ開(kāi)發(fā)系統(tǒng)的特點(diǎn) ( 1) 開(kāi)放的界面 Max+plusⅡ 支持與 Cadence, Exemplarlogic, Mentor Graphics, Synplicty,Viewlogic 和其它公司所提供的 EDA 工具接口。 ( 5) 模塊化工具 設(shè)計(jì)人員可以從各種設(shè)計(jì)輸入、處理和較驗(yàn)選項(xiàng)中進(jìn)行選擇從而使設(shè)計(jì)環(huán)境用戶化。 ( 2)對(duì)于周期測(cè)試功能,信號(hào)測(cè)試范圍與精度要求與測(cè)頻功能相同。若在一定時(shí)間間隔 T 內(nèi)測(cè)得這個(gè)周期性信號(hào)的重復(fù)變化次數(shù) N,則其頻率可衰示為f=N/T 。則門(mén)控電路的輸出信號(hào)持續(xù)時(shí)間亦準(zhǔn)確地等于 1s。 基于 FPGA 的等精度頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn) 11 目前,有三種常用的數(shù)字頻率測(cè)量方法:直接測(cè)量法 (以下稱(chēng) M法 )、周期測(cè)量法 (以下稱(chēng) T 法 )和綜合測(cè)量法 (以下稱(chēng) M/T 法 )。而 M/T 法設(shè)實(shí)際閘門(mén)時(shí)間為 t,被測(cè)信號(hào)周期數(shù)為 Nx,則它通過(guò)測(cè)量被測(cè)信號(hào)數(shù)個(gè)周期的時(shí)間,然后換算得出被測(cè)信號(hào)的頻率,克服了測(cè)量精度對(duì)被測(cè)信號(hào)的依賴性。 系統(tǒng)的硬件框架設(shè)計(jì) 基于 FPGA 的等精度頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn) 12 本系統(tǒng)由脈沖輸入電路、整形電路、核心控制電路 (由 FPGA 構(gòu)成 )和輸出顯示電路組成,如圖 2所示。 整形電路是將待測(cè)信號(hào)整形變成計(jì)數(shù)器所要求的脈沖信號(hào)。 基于 FPGA 的等精度頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn) 13 系統(tǒng)設(shè)計(jì)與方案論證 設(shè)計(jì)采用實(shí)驗(yàn)教學(xué)中常用的 altera 公司的 FLEX10K10 系列芯片,該芯片的反應(yīng)時(shí)間可達(dá) ns級(jí),頻率計(jì)的測(cè)頻范圍可為 1Hz~ 999MHz。設(shè)計(jì)主要由分頻模塊、控制模塊、鎖存模塊等共七個(gè)模塊組成。 第 四 章 等精度頻率計(jì) 的設(shè)計(jì) 系統(tǒng)設(shè)計(jì) 電路原理圖 基于 FPGA 的等精度頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn) 14 圖 3 示 意圖中的各模塊用 VHDL 語(yǔ)言生成后,再生成圖 3 所示的示意圖,經(jīng)編譯鏈接之后就可以下載到系統(tǒng)中。下面就 等精度頻率計(jì)設(shè)計(jì)的具體電路、 VHDL 語(yǔ)言程序設(shè)計(jì)及原理圖給予描述。 use 。 end ctmn10。 co=39。 else39。) then t=0000。 then if en=39。 end if。 else i=0。 程序說(shuō)明:此程序?yàn)槭M(jìn)制加法計(jì)數(shù)器,整個(gè)計(jì)數(shù)器的工作方式是在時(shí)鐘脈沖信號(hào)上升沿之際,計(jì)數(shù)值就會(huì)加 1,且計(jì)數(shù)器的計(jì)數(shù)范圍是 0~ 9(即十進(jìn)制的 0~9)。 entity onepluse is port(apluse,clk,reset: in std_logic。 signal state: state_type。 elsif clk39。139。139。139。 end if。 when second, 39。 脈沖取樣器的程序說(shuō)明:這個(gè)程序的作用是產(chǎn)生計(jì)數(shù)器所需要的閘門(mén)時(shí)間,它經(jīng)過(guò) 10 位計(jì)數(shù)器分頻后的方波作為輸入,在時(shí)鐘脈沖的控制下,產(chǎn)生一定時(shí)間的脈沖作為閘門(mén)信號(hào)。而時(shí)基信號(hào)的產(chǎn)生是由 10 分頻程序( ctmn10)和 onepluse 脈沖產(chǎn)生程序所生成的電路模塊組成。 a0,a1,a2,a3:in std_logic。 end haves。 ( 5)時(shí)基信號(hào)產(chǎn)生的電路由 10 分頻程序( ctmn10)和 onepluse 脈沖產(chǎn)生程序所生成的電路模塊組成。 計(jì)數(shù)器的電路設(shè)計(jì) ( 1)計(jì)數(shù)器 是用來(lái)記錄被測(cè)信號(hào)在閘門(mén)時(shí)間內(nèi)的脈沖個(gè)數(shù)。每一個(gè) ctmn10 模塊的 CO 輸出端作為下一個(gè) ctmn10 的使能端,因 CO 是 CLK 的十分頻, 即在第二個(gè)計(jì)數(shù)模塊時(shí)每個(gè)脈沖計(jì)一次數(shù),所以它的計(jì)數(shù)結(jié)果作為可計(jì)數(shù)器的十分位,同理,第三個(gè)計(jì)數(shù)?;?FPGA 的等精度頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn) 20 塊的計(jì)數(shù)可作為計(jì)數(shù)器的百位,依此類(lèi)推。 鎖 存器的電路設(shè)計(jì) 鎖存器是把計(jì)數(shù)器的計(jì)數(shù)結(jié)果鎖入鎖存器,不會(huì)由于周期性的清零信號(hào) reset對(duì)計(jì)數(shù)器進(jìn)行清零,為下 1S 的計(jì)數(shù)操作準(zhǔn)備。 d : in std_logic_vector(31 downto 0)。139。139。 end s_haves。也就是說(shuō),鎖存器鎖存數(shù)據(jù)的時(shí)間至少應(yīng)為 1s。 控制電路的設(shè)計(jì) 控制電路由一個(gè) 控制器來(lái)完成,它能根據(jù)外圍部件的狀態(tài),發(fā)出相應(yīng)的控制信號(hào),使系統(tǒng)正常運(yùn)轉(zhuǎn),根據(jù)要求來(lái)可用狀態(tài)機(jī)來(lái)描述。 entity control is port(clk,reset:in std_logic。 hundot,tendot,unitdot :out std_logic)。 begin process(clk) begin if reset=39。event and clk=39。139。139。139。 end if。 end if。 end if。then state=f10k_low。then state=f10k_low。then state=start_f100k。then state=start_f100k。then state=start_f1k。139。139。 end if。 end if。 end if。 end if。 with state select sel_std_f=00when start_f100k|f100k_t|f100k_over|f100k_low, 01when start_f10k|f10k_t|f10k_over|f10k_low, 10when start_f1k|f1k_t|f1k_over|f1k_low, 11when others。039。when start_f10k|f10k_t|f10k_over|f10k_low, 39。139。 with state select clear=39。when others。039。此程序的狀態(tài)用了 CASE_WHEN 結(jié)構(gòu)中的 case語(yǔ)句表,而狀態(tài)轉(zhuǎn)移則通過(guò) IF_THEN_SELECT 條件選擇語(yǔ)句來(lái)實(shí)現(xiàn)信號(hào),如通過(guò)條件選擇閘門(mén)信號(hào),選擇小數(shù)點(diǎn)位置,顯示的清零及溢出時(shí)的標(biāo)志。 ( 1)脈沖同步器( SYNCIR) 脈沖同步器是為了控制信號(hào)與時(shí)鐘同步,從而讓計(jì)數(shù)器及時(shí)準(zhǔn)確的作出響應(yīng)。 sout:out std_logic)。139。 end haves。具體的程序如下: library ieee。 count:out std_logic_vector(31 downto 0))。 then count=11110000000000000000000000000000。 (3) 欠量程檢驗(yàn)器( EQUO) 計(jì)數(shù)欠量程檢驗(yàn)器是用計(jì)數(shù)的最高位來(lái)檢驗(yàn)的,當(dāng)計(jì)數(shù)結(jié)果的最高位為零時(shí),則表明計(jì)數(shù)結(jié)果欠量程,計(jì)數(shù)欠量程檢驗(yàn)器就會(huì)向控制器發(fā)出一欠量程信號(hào),控制器根據(jù)這一欠 量程信號(hào)控制門(mén)控(選擇器)輸出一小的閘門(mén)信號(hào)。 entity equo is port(a: in std_logic_vector(3 downto 0)。139。 程序說(shuō)明:此程序是說(shuō)計(jì)數(shù)器的最高位為零時(shí),即輸入信號(hào) a0~ a3 都為零時(shí),表示等精度頻率計(jì)處于欠量程狀態(tài),其標(biāo)志位 equalo 就輸出為 1,此信號(hào)送到控制器執(zhí)行相應(yīng)的處理。其設(shè)計(jì)速度非???。 基于 FPGA 的等精度頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn) 30 頻率測(cè)試 有了整個(gè)的原理圖后,通過(guò) MAX+plusⅡ的軟件開(kāi)發(fā)平臺(tái)編譯,然后下載到目標(biāo)下載板上。 28~ 54 為數(shù)據(jù)開(kāi)關(guān)。在 Altera 器件中,一類(lèi)是 MAX 系列,另一類(lèi)是 FLEX 系列。本設(shè)計(jì)使用的是 EPF10K10LC84— 4,為 FLEX 系列,具體操作如下: a..將一電纜一端插入 LPT1(并行口,打印機(jī)口),另一端插入系統(tǒng)板,打開(kāi)系統(tǒng)電源。此 時(shí)我們已成功的在 FPGA 的實(shí)驗(yàn)箱上下載了等精度頻率計(jì)的源程序,利用實(shí)驗(yàn)箱上的基于 FPGA 的等精度頻率計(jì)的設(shè)計(jì)與實(shí)現(xiàn) 31 已有時(shí)鐘輸入可以驗(yàn)證我們所做的結(jié)果。等精度頻率計(jì) 的電路設(shè)計(jì),是我首次運(yùn)用 VHDL 電路設(shè)計(jì)語(yǔ)言設(shè)計(jì) 一個(gè)實(shí)際電路。 等精度頻率計(jì) 是電子測(cè)量?jī)x器中不可缺少的儀器,其性能要求越高越好。為了不讓測(cè)量結(jié)果顯示時(shí)隨著周期性的清零信號(hào)而不斷閃爍,采用了鎖存電路使顯示穩(wěn)定。由于本設(shè)計(jì)確定在測(cè)量結(jié)果小于 、 時(shí),是處于欠量程狀態(tài),對(duì)于是低頻的待測(cè)信號(hào)測(cè)量結(jié)果誤差較大,就此問(wèn)題,可以將其時(shí)基信號(hào)作 改變,如試著將時(shí)基信號(hào)改為 1S以后精度就提高了。通過(guò)這兩個(gè)月的設(shè)計(jì),使我受益菲淺,不僅跟老師學(xué)到了好多書(shū)本上學(xué)不到的東西,而且使我以前所學(xué)的專(zhuān)業(yè)知識(shí)得到了更進(jìn)一步鞏固。與這些同學(xué)相處的時(shí)光將成為我美好的記憶,成為我永遠(yuǎn)的財(cái)富。對(duì)本文的研究做出重要貢獻(xiàn)的個(gè)人和集體,均已在文中以明確方式注明并表示感謝。盡我所知,除文中已經(jīng)注明引用的內(nèi)容外,本設(shè)計(jì)(論文)不含任何其他個(gè)人或集體已經(jīng)發(fā)表或撰寫(xiě)過(guò)的作品成果。 本人愿意按照學(xué)校要求提交學(xué)位論文的印刷本和電子版,同意學(xué)校保存學(xué)位論文的印刷本和電子版,或采用影印、數(shù)字化或其它復(fù)制手段保存設(shè)計(jì)(論文);同意學(xué)校在不以營(yíng)利為目的的前提下,建立目錄檢索與閱覽服務(wù)系統(tǒng),公布設(shè)計(jì)(論文)的部分或全部?jī)?nèi)容,允許他人依法合理使用。本次畢業(yè)設(shè)計(jì)是對(duì)我大學(xué)四年學(xué)習(xí)下來(lái)最好的檢驗(yàn)。 首先,我要特別感謝我的知道郭謙功老師對(duì)我的悉心指導(dǎo),在我的論文書(shū)寫(xiě)及設(shè)計(jì)過(guò)程中給了我大量的幫助和指導(dǎo),為我理清了設(shè)計(jì)思路和操作方法,并對(duì)我所做的課題提出了有效的改進(jìn)方案。 其次,我要感謝大學(xué)四年中所有的任課老師和輔導(dǎo)員在學(xué)習(xí)期間對(duì)我的嚴(yán)格要求,感謝他們對(duì)我學(xué)習(xí)上和生活上的幫助,使我了解了許多專(zhuān)業(yè)知識(shí)和為人的道理,能夠在今后的生活道路上有繼續(xù)奮斗的 力量。從這里走出,對(duì)我的人生來(lái)說(shuō),將是踏上一個(gè)新的征程,要把所學(xué)的知識(shí)應(yīng)用到實(shí)際工作中去。四年的風(fēng)風(fēng)雨雨,我們一同走過(guò),充滿著關(guān)愛(ài),給我留下了值得珍藏的最美好的記憶。老師們認(rèn)真負(fù)責(zé)的工作態(tài)度,嚴(yán)謹(jǐn)?shù)闹螌W(xué)精神和深厚的理 論水平都使我收
點(diǎn)擊復(fù)制文檔內(nèi)容
研究報(bào)告相關(guān)推薦
文庫(kù)吧 www.dybbs8.com
備案圖鄂ICP備17016276號(hào)-1