freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

多路復(fù)用信號產(chǎn)生電路的建模與vhdl設(shè)計(jì)-文庫吧

2025-06-15 00:05 本頁面


【正文】 e 。use 。 entity count16 is 實(shí)體名 port(clk:in std_logic。 D,C,B,A:out std_logic)。 端口設(shè)置end count16。architecture rtl of count16 is signal count_4:std_logic_vector(3 downto 0)。beginprocess(clk) 進(jìn)程敏感信號begin if(clk39。event and clk=39。139。) then 時鐘上升沿觸發(fā) if(count_4=1111) then count_4=0000。elsecount_4=count_4+1。end if。end if。end process。D=count_4(0)。 最低位從D輸出C=count_4(1)。 次低位從C輸出B=count_4(2)。 此高位從B輸出A=count_4(3)。 最高位從A輸出end rtl。上述程序在Max+plus 分頻器的時序仿真波形圖中A表示16分頻輸出,B表示8分頻輸出,C表示4分頻輸出,D表示2分頻輸出。(2)內(nèi)碼控制器內(nèi)碼控制器實(shí)際也是一個分頻器,一個輸出端口輸出三位并行信號()作為內(nèi)碼產(chǎn)生器的地址控制端(選擇輸入端),“000, 001”一直到“111。這樣,內(nèi)碼產(chǎn)生器每個時鐘節(jié)拍輸出一位碼,通過輸出電路送到合路信道上,最終形成路串行碼流。(3)時序發(fā)生器 時序發(fā)生器用于產(chǎn)生四路寬度為8位數(shù)據(jù)碼寬度的時序信號。具體實(shí)現(xiàn)是將內(nèi)碼控制器的二分頻端(即128kHz時鐘輸出端)通過一個32分頻器,其二分頻和四分頻輸出端作為2/4譯碼器的控制端,2/4譯碼器的四個輸出端。分頻器的兩位輸出 F2amp。F1F2amp。F1=00F2amp。F1=01F2amp。F1=10F2amp。F1=11輸出=1110輸出=1101輸出=1011輸出=0111 譯碼器的VHDL建模流程圖其VHDL的描述程序如下:library ieee。use 。use 。entity yimaqi is 譯碼器實(shí)體名port(F2,F1:in std_logic。 Y3,Y2,Y1,Y0:out std_logic)。end yimaqi。architecture rtl of yimaqi issignal indata:std_logic_vector(1 downto 0)。signal Y:std_logic_vector(3 downto 0)。 beginindata=F2amp。F1。process(indata)begincase indata iswhen00=Y=1110。 2/4譯碼when01=Y=1101。 2/4譯碼when10=Y=1011。 2/4譯碼when11=Y=0111。 2/4譯碼when others=Y=XXXX。end case。end process。Y3=Y(3)。 第4路譯碼輸出Y2=Y(2)。 第3路譯碼輸出 Y1=Y(1)。 第2路譯碼輸出Y0=Y(0)。 第1路譯碼輸出end rtl。: 譯碼器的時序仿真波形。其功能是產(chǎn)生四路時序信號,具體原理前面已介紹。圖中,B為輸入時鐘信號。S3S0為四路時序信號。時序產(chǎn)生器的VHDL描述程序:library ieee。use 。entity shixusuccessful is 時序產(chǎn)生器實(shí)體名 port(B:in std_logic。 輸入B為時鐘信號 S3,S2,S1,S0:out std_logic)。 輸出四路時序信號end entity shixusuccessful。architecture sx1 of shixusuccessful isponent count32 調(diào)用庫元件count32(計(jì)數(shù)器)port(X1:in std_logic。 E,D,C,B,A:out std_logic)。end ponent。ponent yimaqi 調(diào)用庫元件yimaqi(譯碼器) port(F2,F1:in std_logic。 Y3,Y2,Y1,Y0:out std_logic)。end ponent。 ponent nand0_1 調(diào)用庫元件nand0_1port(X2:in std_logic。 out2:out std_logic)。end ponent。signal in1,in2,
點(diǎn)擊復(fù)制文檔內(nèi)容
試題試卷相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖鄂ICP備17016276號-1