freepeople性欧美熟妇, 色戒完整版无删减158分钟hd, 无码精品国产vα在线观看DVD, 丰满少妇伦精品无码专区在线观看,艾栗栗与纹身男宾馆3p50分钟,国产AV片在线观看,黑人与美女高潮,18岁女RAPPERDISSSUBS,国产手机在机看影片

正文內(nèi)容

畢業(yè)設(shè)計(jì)基于pld的三層電梯的控制設(shè)計(jì)(已改無錯(cuò)字)

2023-07-21 13:10:57 本頁面
  

【正文】 cc_d=c_d33amp。c_d22amp。39。039。; 電梯外人下降請求信號(hào)并置 dd_cc=dd or cc_u or cc_d; 電梯內(nèi)、外人請求信號(hào)進(jìn)行綜合 end if; ud=updown; 電梯運(yùn)動(dòng)狀態(tài)顯示 led_d=dd; 電梯內(nèi)人請求信號(hào)顯示led_c_u=cc_u; 電梯外人上升請求信號(hào)顯示led_c_d=cc_d; 電梯外人下降請求信號(hào)顯示end if; 四、程序調(diào)試打開MAX+plusII軟件。 MAX+plusII軟件初始界面2. 新建文本編輯文件在工具欄中選擇“新建”按鈕。在選擇輸入路徑時(shí),選擇“Text Editor File”以進(jìn)行文本輸入。 輸入路徑選擇為文本輸入在文本輸入界面內(nèi)進(jìn)行程序輸入。 程序輸入界面輸入完畢后,對程序進(jìn)行保存。文件名和實(shí)體定義的符號(hào)必須保持一致,即文件名為dianti。因?yàn)槭鞘褂肰HDL語言,所以文件后綴名須改成VHD。由于文件后綴名都默認(rèn)為TDF,在初次調(diào)試時(shí)并沒有注意這個(gè)問題,結(jié)果在編譯的時(shí)候老是出錯(cuò)。 文本輸入保存界面5. 設(shè)置頂層文件在編譯前,需要把文件設(shè)置為頂層文件,或稱工程文件Project。選擇菜單“File”→“Set Project to Current File”,當(dāng)前的文件即被指定。 設(shè)置頂層文件6. 程序編譯在“MAX+plusII”下拉按鈕里選擇”Compiler”,對程序進(jìn)行編譯。此時(shí),MAX+plusII軟件會(huì)對程序進(jìn)行糾錯(cuò)等處理。 在編譯完成以后,程序的調(diào)試結(jié)束。五、程序仿真(一)波形輸入建立1. 新建波形編輯器編譯完全通過后,新建波形編輯器進(jìn)行設(shè)計(jì)仿真。通過“新建”按鈕,選擇“Waveform Editor file”。 新建波形編輯器新建波形編輯器后,對文件進(jìn)行保存。同樣是使用相同的文件名dianti,后綴則改為scf。3. 添加節(jié)點(diǎn)信號(hào)在編輯器的Name欄點(diǎn)擊鼠標(biāo)右鍵,選擇“Enter Nodes from SNF”選擇添加需要觀察的節(jié)點(diǎn)信號(hào)。 添加節(jié)點(diǎn)信號(hào)4. 對輸入信號(hào)賦值通過編輯器左側(cè)的一些快捷按鈕對所加節(jié)點(diǎn)中的輸入信號(hào)進(jìn)行相關(guān)的賦值后,就可對程序進(jìn)行仿真,觀察輸出信號(hào),得出結(jié)論。如對時(shí)鐘信號(hào)clk賦周期為25ms的周期信號(hào)。 對clk信號(hào)賦值圖在進(jìn)行仿真前,還需對仿真截止時(shí)間和最小單位時(shí)間進(jìn)行一下調(diào)整。在“File”欄對截止時(shí)間“End Time”設(shè)置為“10s”,在“Options”欄的“Grid Size”設(shè)置為“20ns”。 截止時(shí)間設(shè)置圖 單位時(shí)間設(shè)置(二)電梯運(yùn)行情況仿真本設(shè)計(jì)的運(yùn)行情況比較復(fù)雜,本文主要介紹以下兩種情況。電梯處于一樓,接收到三樓的梯外下降請求(c_d3),于是電梯運(yùn)行到三樓,中途經(jīng)過二樓時(shí)不作停頓。到達(dá)三樓載客后,電梯內(nèi)又接收到下降到一樓的梯內(nèi)請求(d1),于是電梯返回一樓待機(jī)。觀察以下信號(hào):up、ud、down、led、led_c_d、led_d。 電梯在不同時(shí)段接收到請求信號(hào)說明:(1)up:在電梯接收到c_d3后,賦值為1,表示電梯受控制處于上升過程,當(dāng)電梯運(yùn)行到三樓以后,賦值變?yōu)?。(2)ud:從電梯接收c_d3到電梯接收d1為止,賦值為1,表示電梯此時(shí)向上運(yùn)行,其余時(shí)間段都為0,表示電梯此時(shí)向下運(yùn)行或者待機(jī)。(3)down:在電梯接收到d1后,賦值為1,表示電梯受控制處于下降過程,當(dāng)電梯運(yùn)行到一樓以后,賦值變?yōu)?。(4)led:電梯每運(yùn)行到達(dá)一層時(shí),都會(huì)有不同的信號(hào)輸出: 100111001000000110。這些就是樓層指示,依次代表3。(5)led_c_d:在電梯接收到c_d3后,賦值樓層編碼為100,表示梯外有人請求下降,電梯運(yùn)行到達(dá)請求發(fā)出的樓層(三樓)后,電梯賦值變?yōu)?00,表示下降請求得到滿足。(6)led_d:在電梯接收到d1后,賦值為001,表示梯內(nèi)請求到達(dá)樓層編碼為001,即一樓電梯運(yùn)行到達(dá)請求目的樓層一樓后,電梯賦值變?yōu)?00,表示請求樓層得到滿足或在待機(jī)。電梯停在一樓,在同一時(shí)間段里接受到三個(gè)請求信號(hào):一樓梯內(nèi)上升到三樓的請求(d3)、二樓的梯外上升請求(c_u2)和三樓的梯外下降請求(c_d3)。電梯到達(dá)二樓,載客繼續(xù)上升。電梯上升到三樓時(shí),響應(yīng)請求c_d3,開門載客進(jìn)入預(yù)備下降狀態(tài)。由于二樓并沒有請求,所以電梯不作停頓地下降到一樓。電梯執(zhí)行完所有請求時(shí)電梯將停在一樓待機(jī)。觀察以下信號(hào):up、ud、down、led_c_u、led_c_d、led_d。說明:(1)up:在電梯接收到請求信號(hào)后,賦值為1,表示電梯受控制處于上升過程,當(dāng)電梯運(yùn)行到二樓以后,賦值短暫變0,然后又變?yōu)?,表示電梯在二樓載客完畢后又進(jìn)入上升過程,到達(dá)三樓后,賦值為0。(2)ud:從電梯接收請求信號(hào)后到電梯運(yùn)行到三樓為止,賦值為1,表示電梯此時(shí)向上運(yùn)行,其余時(shí)段都為0,表示電梯此時(shí)向下運(yùn)行或者待機(jī)。(3)down:在電梯到達(dá)三樓后,賦值為1,表示電梯受控制處于下降過程,當(dāng)電梯運(yùn)行到一樓以后,賦值變?yōu)?。(4)Led_c_u:在電梯接收到c_u2后,賦值樓層編碼為010(二樓),表示二樓梯外有人請求上升,電梯運(yùn)行到達(dá)請求發(fā)出的樓層(二樓)后,電梯賦值變?yōu)?00,表示上升請求得到滿足或在待機(jī)。(5)led_c_d:在電梯接收到c_d3后,賦值樓層編碼為100,表示梯外有人請求下降,電梯運(yùn)行到達(dá)請求發(fā)出的樓層(三樓)后,電梯賦值變?yōu)?00,表示下降請求得到滿足或在待機(jī)。(6)led_d:在電梯接收到d3后,賦值為100,表示梯內(nèi)請求到達(dá)樓層編碼為100(三樓),電梯運(yùn)行到達(dá)請求目的樓層(三樓)后,電梯賦值變?yōu)?00,表示請求樓層得到滿足或在待機(jī)。(三)電梯功能仿真電梯的功能包括:提前關(guān)門、看門狗報(bào)警、超載報(bào)警、故障報(bào)警。設(shè)電梯到達(dá)三樓時(shí),響應(yīng)請求(c_d3),開門載客進(jìn)入預(yù)備下降狀態(tài)。電梯在預(yù)備下降狀態(tài)下,電梯如果超載(full=39。139。),發(fā)出超載警報(bào);超載信號(hào)消失(full=39。039。),電梯重新進(jìn)入預(yù)備下降狀態(tài)。電梯接受到deng、c_d3和d3電梯重新進(jìn)入預(yù)備下降狀態(tài),并且c_d3和d3信號(hào)都可以對q2(q23時(shí))進(jìn)行清零處理。當(dāng)連續(xù)關(guān)門中斷的次數(shù)超過3次時(shí),不認(rèn)為是出自乘客的需要,而認(rèn)為是故障,并報(bào)警,等技術(shù)員處理完故障時(shí),用clr信號(hào)才可以清除報(bào)警。觀察以下信號(hào):wahaha、alarm、quick。 功能仿真圖說明:(1)wahaha:當(dāng)關(guān)門中斷信號(hào)deng初次賦值為1時(shí),本信號(hào)就賦值為1,一直到故障清除,信號(hào)clr賦值為1時(shí)才變?yōu)?。這表示當(dāng)電梯首次關(guān)門失敗后(即deng首次賦值為1時(shí)),電梯便一直處于故障報(bào)警狀態(tài)下,直到技術(shù)員處理完故障后,用clr才可以清除其報(bào)警。(2)alarm:跟隨超重信號(hào)full的賦值變化而變化。當(dāng)電梯超重時(shí),超重信號(hào)就進(jìn)行報(bào)警。(3)quick:電梯接受到提前關(guān)門信號(hào)quick,電梯跳過關(guān)門等待時(shí)間。q1信號(hào)從1 跳到3,進(jìn)入關(guān)門狀態(tài)。總結(jié)通過本次畢業(yè)設(shè)計(jì),為我以后在這方面的深入學(xué)習(xí)打下基礎(chǔ),讓我更深刻的體會(huì)到“學(xué)以致用”的道理。同時(shí)也提高了我解決實(shí)際問題的能力,培養(yǎng)自我創(chuàng)新意識(shí)。在設(shè)計(jì)中我必須首先熟悉和掌握MAX PLUSⅡ的設(shè)計(jì)流程和工作原理,PLD技術(shù)及用VHDL語言設(shè)計(jì)程序的能力和對于三層電梯的控制源程序要進(jìn)行深入的了解。通過這次畢業(yè)設(shè)計(jì),無論從選題到定稿,從理論到實(shí)踐都使我學(xué)到了很多東西,它不僅可以鞏固以前所學(xué)過的知識(shí),而且學(xué)到了很多在書本上所沒有學(xué)到過的知識(shí)。同時(shí)也明白了理論與實(shí)踐相結(jié)合的重要性,只有理論知識(shí)是遠(yuǎn)遠(yuǎn)不夠的,只有把所學(xué)的理論知識(shí)與實(shí)踐相結(jié)合起來,從理論中得出結(jié)論,才能真正為社會(huì)服務(wù),從而提高自己的實(shí)際動(dòng)手能力和獨(dú)立思考的能力。附錄一 三層電梯控制的源程序library ieee;use ;use ;use ;entity dianti is port ( clk : in std_logic; full,deng,quick,clr : in std_logic; c_u1,c_u2: in std_logic; c_d2,c_d3: in std_logic; d1,d2,d3: in std_logic; g1,g2,g3: in std_logic; door : out std_logic_vector(1 downto 0); led : out std_logic_vector(6 downto 0); led_c_u:out std_logic_vector(2 downto 0); led_c_d:out std_logic_vector(2 downto 0); led_d : out std_logic_vector(2 downto 0); wahaha : out std_logic; ud,alarm : out std_logic; up,down : out std_logic );
點(diǎn)擊復(fù)制文檔內(nèi)容
化學(xué)相關(guān)推薦
文庫吧 www.dybbs8.com
備案圖片鄂ICP備17016276號(hào)-1